Presentation + Paper
28 March 2017 Towards a stand-alone high-throughput EUV actinic photomask inspection tool: RESCAN
Rajeev Rajendran, Iacopo Mochi, Patrick Helfenstein, Istvan Mohacsi, Sophie Redford, Aldo Mozzanica, Bernd Schmitt, Shushuke Yoshitake, Yasin Ekinci
Author Affiliations +
Abstract
With extreme ultraviolet (EUV) lithography getting ready to enter high volume manufacturing, there is an imminent need to address EUV mask metrology infrastructure. Actinic defect inspection of patterned EUV photomasks has been identified as an essential step for mask qualification, but there is no commercial tool available right now. We address this gap with the RESCAN tool, a defect inspection platform being built at Paul Scherrer Institut (PSI), co-developed in collaboration with Nuflare Inc, Japan. RESCAN uses Scanning Scattering Contrast Microscopy (SSCM) and Scanning Coherent Diffraction Imaging (SCDI) for fast defect detection and fine defect localization. The development of a stand-alone tool based on these techniques relies on the availability of (1) a bright coherent EUV source with a small footprint and (2) a high frame-rate pixel detector with extended dynamic range and high quantum efficiency for EUV. We present two in-house projects at PSI addressing the development of these components: COSAMI and JUNGFRAU. COSAMI (COmpact Source for Actinic Mask Inspection), is a high-brightness EUV source optimized for EUV photons with a relatively small footprint. JUNGFRAU (adJUstiNg Gain detector FoR the Aramis User station) is a silicon-based hybrid pixel detector, developed in house at PSI and prototyped for EUV. With a high frame rate and dynamic range at 13.5 nm, this sensor solution is an ideal candidate for the RESCAN platform. We believe that these ongoing source and sensor programs will pave the way towards a comprehensive solution for actinic patterned mask inspection bridging the gap of actinic defect detection and identification on EUV reticles.
Conference Presentation
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Rajeev Rajendran, Iacopo Mochi, Patrick Helfenstein, Istvan Mohacsi, Sophie Redford, Aldo Mozzanica, Bernd Schmitt, Shushuke Yoshitake, and Yasin Ekinci "Towards a stand-alone high-throughput EUV actinic photomask inspection tool: RESCAN", Proc. SPIE 10145, Metrology, Inspection, and Process Control for Microlithography XXXI, 101450N (28 March 2017); https://doi.org/10.1117/12.2258379
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Sensors

Photomasks

Inspection

Diffraction

Photons

Scattering

Back to Top