Open Access Paper
8 May 2018 Front Matter: Volume 10583
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 10583, including the Title Page, Copyright information, Table of Contents, and Conference Committee listing.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Extreme Ultraviolet (EUV) Lithography IX, edited by Kenneth A. Goldberg, Proceedings of SPIE Vol. 10583 (SPIE, Bellingham, WA, 2018) Seven-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510616585

ISBN: 9781510616592 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2018, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/18/$18.00.

Printed in the United States of America.

00138_PSISDG10583_1058301_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Abe, Tamotsu, 18, 28

Adelmann, Christoph, 1E

Ahn, Chang-Nam, 0X, 0Y

Akiteru, Ko, 0E

Argitis, Panagiotis, 1R

Arnold, John, 0E

Bai, Kunlun, 0K

Bailey, Todd, 0N, 1N

Baljozovic, Milos, 0A

Ban, Chung-Hyun, 22

Bauer, Markus, 12

Bäumer, Stefan, 0S

Bekaert, Joost, 0I, 0L, 0U

Bekman, Herman, 10

Béral, Christophe, 0I, 0U

Biafore, John J., 0K, 1N

Biesemans, Serge, 0L, 1M

Blanco Carballo, Victor, 0G, 0L, 0U

Blankenship, David, 0K

Bömmels, Jürgen, 0U

Bouten, Sander, 0I

Brendler, Andrew C., 0C

Briggs, Basoene, 0U

Briggs, Benjamin, 0E

Broman, Pär, 0Y

Brunner, Timothy A., 0C, 0I, 0J

Cabrera, Yasiel, 09

Capelli, Renzo, 0J, 11

Carcasi, Michael, 1M

Castellanos, Sonia, 0A

Chabal, Yves J., 09

Chen, Xuemei, 0C, 0D, 0J

Chen, Yulu, 0D

Chesneau, A., 13

Cho, Maenghyo, 23

Choi, HeungSoo, 0E

Choi, Joonmyung, 23

Chowdhury, Yassin, 0S

Chunder, Anindarupa, 1N

Ciofi, Ivan, 0U

Civay, D., 13

Clifford, Chris, 0P

Corliss, Dan, 0F

Cornell, Roger, 0Z

Culp, James A., 0C

Custers, Rolf, 1W

Dawson, Guy, 08, 1L

Debacker, Peter, 26

De Bisschop, Peter, 0G, 0I, 0K, 0U, 1K

Decoster, Stefan, 0U

De Gendt, Stefan, 07

Dei, Satoshi, 1M

de Klerk, Jos, 0H

Demand, Marc, 0L, 1V

De Poortere, E., 0L

De Silva, Anuja, 0E, 0V

De Simone, Danilo, 07, 08, 0G, 1M

Deutz, Alex, 10

Dietzel, Martin, 0J, 11

Dikkers, Manfred, 0S

Drissi, Y., 0L

Dusa, M., 0L

Dutta, Ashim, 0V

Ekinci, Yasin, 0A, 1H, 1I, 1L, 1R, 1W

El-Mekki, Zaid, 0U

Engelen, Wouter, 0S

Enomoto, Masashi, 1V

Erdmann, Andreas, 12

Ervin, Joseph, 0W

Evanschitzky, Peter, 12

Fan, Shuhai, 0Z

Feigl, Torsten, 0S

Felix, Nelson M., 0E, 0V

Fenger, Germain, 0P, 0Q

Fernandez, Sara, 1H, 1I

Finders, Jo, 0Y

Fisser, Geert, 0H

Foubert, Philippe, 1M, 1V

Franke, Joern-Holger, 0L, 0W

Fühner, Tim, 14

Fumar-Pici, Anita, 0X

Gabor, Allen H., 0C, 0D

Gallagher, Emily, 1E

Gao, Weimin, 0O, 14, 1S

Garlick, Jon, 1C

Garrido Olvera, Karen, 1W

Ghosh, Subrata, 1Q

Giannelis, Emmanuel P., 06, 1P, 1U

Gillijns, W., 0L

Gonsalves, Kenneth E., 1Q

Goodwin, Francis, 0D

Graves, Trey, 0K

Guo, Jing, 0V

Guo, Vivian Wei, 0N

Halder, Sandip, 0W

Hamed Fatehy, Ahmed, 1X, 21

Hamieh, Bassem, 0E

Harada, Tetsuo, 20

Hashimoto, Yusaku, 0E, 1V

Hassanein, Ahmed, 19

Hayashi, Hideyuki, 28

Helfenstein, Patrick, 1H, 1I

Hellweg, Dirk, 0J, 11

Hendrickx, Eric, 0I, 0L, 0O, 0P, 0U, 12, 1K

Hetzer, Dave, 0E

Heylen, Nancy, 0U

Hoefnagels, Rik, 1W

Hontake, Koichi, 0E

Hoppe, Wolfgang, 0O

Hori, Masafumi, 1M

Hori, Tsukasa, 18, 28

Hsu, S., 0L

Huli, Lior, 0E

Hutcheson, G. Dan, 03

Huyghebaert, Cedric, 1E

Hyun, Yoonsuk, 0Y

Ichinomiya, Hiroshi, 0E, 1V

Ide, Hiroyuki, 1M

Ishii, Takuya, 28

Isono, Mariko, 20

Isoyan, Artak, 1S

Itou, Noritoshi, 28

Jain, Ankit, 0E

Jayaram, Srividya, 0N

Jeong, Changyoung, 23

Jia, J., 0L

Jiang, Fan, 0N, 0P, 1X

Jiang, Jing, 07

Jin, Chunshui, 1T

Johnson, Kenneth C., 19

Johnson, Rick, 0F

Jonckheere, Rik, 1F

Jourdan, Nicolas, 0U

Juncker, Aurelie, 0W

Jung, Thomas, 0A

Kai, Akiko, 0E

Kamei, Yuya, 1M, 1V

Kamo, Takashi, 1F

Kandel, Yudhishthir, 14, 1S

Kang, Junghyun, 0Y

Kapteyn, Henry, 1C

Kasahara, Kazuki, 1P, 1U

Kawakami, Shinichiro, 0E, 1V

Kawasuji, Yasufumi, 18, 28

Kazazis, Dimitrios, 0A, 1H, 1I, 1L, 1R

Kesters, Els, 0U

Kersteen, Grizelda, 0J, 11

Kim, Heebom, 23

Kim, Hwan, 0Y

Kim, Muyoung, 23

Kim, Ryoung-han, 0L, 0O, 0U, 26

Kling, Michael, 0D

Koch, Markus, 11

Kodama, Takeshi, 18, 28

Kondo, Yoshihiro, 1M

Kosma, Vasiliki, 06, 1P, 1U

Kotb Ali, Rehab, 1X, 21

Koufakis, Eleftherios, 1R

Kozawa, Takahiro, 07

Kriese, Michael, 0S

Kupers, Michiel, 0I, 0L

Kutrzeba Kotowska, Bogumila, 0U

Lafferty, Neal, 0Q, 1X, 21

Laffosse, E., 13

Larivière, Stéphane, 0U

Latypov, Azat, 1N

Laubis, Christian, 1E

Lazzarino, Frederic, 0W

Lee, Byunghoon, 23

Lee, Inhwan, 0Y

Lee, Jae Uk, 1E

Lee, Sung-Gyu, 22

Lemley, Corey, 0E

Lenox, Chet, 0E

Levasier, Leon, 0H

Levinson, Harry J., 0C, 1N

Li, Chun, 1T

Li, Hailiang, 1T

Li, Wenxin, 0Z

Liebmann, Lars, 0D

Lim, Chang-Moon, 0X, 0Y

Lim, Mijung, 0X

Limpens, Maurice P. M. A., 10

Liu, Eric, 0E

Liu, Yu, 1T

Liubich, Vlad, 0P

Lorusso, Gian, 0G

Lucas, Kevin, 0O

Luo, Feixiang, 0D

Mallik, Arindam, 0U, 26

Manouras, Theodoros, 1R

Mansfield, Scott, 0N, 0Z

Mao, Ming, 0L, 0U

Mariano, Marina, 1E

Maruyama, Ken, 1M

Matham, Shravan, 0E

Matsumoto, Yoko, 20

Mattson, Eric C., 09

McClelland, Alexandra, 08, 1L

McIntyre, Greg, 0L, 0U, 26

McNamara, John, 0Y

Meeuwissen, Marieke, 1W

Meiling, Hans, 0H

Meli, Luciana, 0E, 0F, 0V

Melvin, Lawrence S., III, 0O, 14, 1S

Mesilhy, Hazem, 12

Mignot, Yann, 0E, 0V

Mikami, Shinji, 0B

Minekawa, Yukie, 1M

Minnaert, Arthur, 0H

Miyake, Masayuki, 1M

Miyao, Kenichi, 28

Mizoguchi, Hakaru, 18, 28

Mochi, Iacopo, 1H, 1I, 1W

Mocuta, Dan, 0U

Moinuddin, Mohamad Ghulam, 1Q

Moon, Junghwan, 23

Moors, Roel, 0H

Moriya, Teruhiko, 1M

Mountfort, Eric, 1C

Murdoch, Gayle, 0W

Nafus, Kathleen, 0L, 1M, 1V

Nagahara, Seiji, 1M

Nagai, Tomoki, 1M

Naito, Michiya, 20

Nakagawa, Hisashi, 1M

Nakajima, Makoto, 0M

Nakarai, Hiroaki, 18, 28

Nakashima, Hideo, 1M

Naruoka, Takehiko, 1M

Naulleau, Patrick, 1G

Neureuther, Andrew, 1G

Nowak, Krzysztof M., 18

Ober, Christopher K., 06, 1P, 1U

Oh, Hye-Keun, 22

Okamoto, Takeshi, 28

Oliver, John, 1D

Oshima, Akihiro, 1M

Park, Daniel, 0X

Park, Eun-Sang, 22

Park, Jae-Hun, 22

Peeters, Rudy, 0H

Petersen, John S., 1M

Petrillo, Karen, 0E

Philipsen, Vicky, 0O, 12

Pieters, Marco, 0H

Pollentier, Ivan, 1E

Popescu, Carmen, 08, 1L

Portale, Giuseppe, 0A

Pradeep, Chullikkattil P., 1Q

Raghunathan, Ananthan, 0Q

Rajeev, Rajendran, 1H, 1I

Reddy, Pulikanti Guruprasad, 1Q

Rio, D., 0L

Rispens, Gijsbert, 0Y, 1W

Robertson, Stewart, 0K

Robinson, Alex P. G., 08, 1L

Robinson, Chris, 0E, 0F

Ronse, Kurt, 0U, 26

Roth, John, 08, 1L

Rupich, Sara M., 09

Rutigliani, Vito, 0G

Saitou, Takashi, 18, 28

Sakai, Kazunori, 06, 1P, 1U

Sakamoto, Rikimaru, 0M

Saville, Barry, 0E

Schasfoort, Ad, 0S

Schiffelers, Guido, 0I, 0L

Schmidt, Daniel, 0D

Scholze, Frank, 1E

Sekiguchi, Atsushi, 20

Seong, Nak, 0X

Shang, Shumay, 0Q

Sharma, Satinder K., 1Q

Shearer, Jeffrey, 0E

Sherwin, Stuart, 1G

Shibayama, Wataru, 0M

Shigaki, Shuhei, 0M

Shima, Motoyuki, 1M

Shimada, Ryo, 1M

Shimoaoki, Takeshi, 0E

Shiobara, Eishi, 0B

Shiozawa, Takahiro, 1V

Shiraishi, Gosuke, 1M

Shiraishi, Yutaka, 18, 28

Shite, Hideo, 1V

Sizyuk, Tatyana, 19, 1D

Sizyuk, Valeryi, 19

Smits, Joost, 0H

Sonoda, Akihiro, 1V

Soumangne, George, 18

Storm, Arnold J., 10

Sturtevant, John, 0Q

Sun, Lei, 0D

Sun, Yuyang, 0N

Tagawa, Seiichi, 1M

Takeda, Satoshi, 0M

Takeshita, Kazuhiro, 1M

Tamura, Mamoru, 0M

Tan, L. E., 0L

Tanaka, Hiroshi, 18

Tanaka, Koichiro, 0E

Tasdemir, Zuhal, 1W

te Sligte, Edwin, 10

Theis, Wolfgang, 08, 1L

Timmermans, Marina Y., 1E

Tokei, Zsolt, 0U

Tomono, Masaru, 1M

Tritchkov, Alexander, 0N

Ueno, Yoshifumi, 28

Utsumi, Yoshiyuki, 20

Vaglio Pret, Alessandro, 0K

Vamvakaki, Maria, 1R

van de Kerkhof, Mark A., 0H, 0I, 0S

Vandenberghe, Geert, 07, 08, 0G, 1M

Van den Heuvel, Dieter, 0U

van der Veen, Marleen H., 0U

van Es, Roderik, 0H

van Putten, Michel, 10

van Veldhoven, Jacqueline, 10

Verdonck, Patrick, 0U

Verduijn, Erik, 0I, 0J

Verhoeven, Eric, 0H

Versluijs, Janko, 0U

Vesters, Yannick, 07, 08, 0G

Vincent, Benjamin, 0W

Viswanathan, Ramya, 0Z

Vockenhuber, Michaela, 0A, 1W

Voogd, Robbert Jan, 0S

Wan, Danny, 0U

Wang, Hui, 1T

Wang, Liping, 1T

Wang, Ziyang, 0Y

Watanabe, Takeo, 20

Watanabe, Yukio, 18, 28

Westerhuis, Evert, 0S

Wiaux, Vincent, 0O, 0P

Wilson, Christopher J., 0U

Wolke, Conrad, 11

Wood, Obert, 0D, 0J

Word, James, 1X, 21

Wu, Chien-Ching, 10

Wu, Lianjia, 0A

Xie, Changqing, 1T

Xie, Yao, 1T

Xu, Hong, 06, 1P, 1U

Yamada, Kenji, 0B

Yamada, Tsuyoshi, 18

Yamamoto, Hiroki, 07

Yamane, Takeshi, 1F

Yamazaki, Taku, 18, 28

Yan, Qiliang, 1S

Yanagida, Tatsuya, 18, 28

Yang, Kou, 1P

Yao, Shun, 1T

Yao, Yiping, 0V

Yildirim, Oktay, 1W

Yin, Lianghong, 0Q

Yoshihara, Kosuke, 1M

Yoshitake, S., 1H

Yu, Bo, 1T

Yu, Jie, 1T

Yuan, Weirong, 1D

Zeitner, Uwe, 0S

Zhang, Haitao, 1T

Zhang, Hongxin, 0Z

Zhang, Xiaoshi, 1C

Zhang, Xima, 0N

Zhao, Shuo, 0D

Zhuang, Larry, 0N

Conference Committee

Symposium Chair

  • Bruce W. Smith, Rochester Institute of Technology (United States)

Symposium Co-chair

  • Will Conley, Cymer, An ASML company (United States)

Conference Chair

  • Kenneth A. Goldberg, Lawrence Berkeley National Laboratory (United States)

Conference Co-chair

  • Nelson M. Felix, IBM Corporation (United States)

Conference Program Committee

  • Markus Bender, Advanced Mask Technology Center GmbH Company KG (Germany)

  • Jos P. Benschop, ASML Netherlands B.V. (Netherlands)

  • Robert L. Brainard, SUNY CNSE/SUNYIT (United States)

  • Martin Burkhardt, IBM Thomas J. Watson Research Center (United States)

  • Deniz Elizabeth Civay, GLOBALFOUNDRIES Inc. (United States)

  • Daniel Corliss, IBM Corporation (United States)

  • Yasin Ekinci, Paul Scherrer Institut (Switzerland)

  • Allen H. Gabor, GLOBALFOUNDRIES Inc. (United States)

  • Emily E. Gallagher, IMEC (Belgium)

  • Florian Gstrein, Intel Corporation (United States)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Eric Hendrickx, IMEC (Belgium)

  • Soichi Inoue, Toshiba Corporation (Japan)

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Insung Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Seong-Sue Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Ted Liang, Intel Corporation (United States)

  • Chang-Moon Lim, SK Hynix, Inc. (Korea, Republic of)

  • Anna Lio, Intel Corporation (United States)

  • Lawrence S. Melvin III, Synopsys, Inc. (United States)

  • Hiroaki Morimoto, Toppan Printing Company, Ltd. (Japan)

  • Patrick P. Naulleau, Lawrence Berkeley National Laboratory (United States)

  • Christopher S. Ngai, Applied Materials, Inc. (United States)

  • Shinji Okazaki, Gigaphoton Inc. (Japan)

  • Eric M. Panning, Intel Corporation (United States)

  • Jan Hendrik Peters, bmbg consult (Germany)

  • Moshe E. Preil, KLA-Tencor Corporation (United States)

  • Kurt G. Ronse, IMEC (Belgium)

  • Tsutomu Shoki, HOYA Corporation (Japan)

  • Akiyoshi Suzuki, Gigaphoton Inc. (Japan)

  • Anna Tchikoulaeva, Lasertec U.S.A., Inc. Zweigniederlassung Deutschland (Germany)

  • Thomas I. Wallow, ASML Brion (United States)

  • Obert R. Wood II, GLOBALFOUNDRIES Inc. (United States)

Session Chairs

  • Keynote Session

    Kenneth A. Goldberg, Lawrence Berkeley National Laboratory (United States)

    Nelson M. Felix, IBM Corporation (United States)

  • EUV: Resist Processes: Joint session with conferences 10583 and 10586

    Thomas I. Wallow, ASML Brion (United States)

    James W. Thackeray, Dow Electronic Materials (United States)

  • EUV: Metal-based Resists: Joint session with conferences 10583 and 10586

    Jason K. Stowers, Inpria Corporation (United States)

    Christopher S. Ngai, Applied Materials, Inc. (United States)

  • EUV Patterning I

    Soichi Inoue, Toshiba Corporation (Japan)

    Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • EUV Patterning II

    Yoonsuk Hyun, SK Hynix, Inc. (Korea, Republic of)

    Moshe E. Preil, KLA-Tencor Corporation (United States)

  • RET I

    Emily E. Gallagher, IMEC (Belgium)

    Patrick P. Naulleau, Lawrence Berkeley National Laboratory (United States)

  • EUV Optics

    Jos P. Benschop, ASML Netherlands B.V. (Netherlands)

  • Speed Talks Poster Previews

    Kenneth A. Goldberg, Lawrence Berkeley National Laboratory (United States)

    Nelson M. Felix, IBM Corporation (United States)

  • Patterning and Etch for EUV: Joint session with conferences 10583 And 10589

    Seong-Sue Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

    Richard S. Wise, Lam Research Corporation (United States)

  • RET II

    Daniel A. Corliss, IBM Corporation (United States)

    Martin Burkhardt, IBM Thomas J. Watson Research Center (United States)

  • EUV Mask

    Ted Liang, Intel Corporation (United States)

    Emily E. Gallagher, IMEC (Belgium)

  • Special Session: Three Points on Shot Noise, 100 Years Later

    Kenneth A. Goldberg, Lawrence Berkeley National Laboratory (United States)

    Nelson M. Felix, IBM Corporation (United States)

  • EUV Source

    Akiyoshi Suzuki, Gigaphoton Inc. (Japan)

    Kurt G. Ronse, IMEC (Belgium)

  • EUV Mask Defectivity

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

    Yasin Ekinci, Paul Scherrer Institut (Switzerland)

  • EUV Resist Roughness

    Allen H. Gabor, GLOBALFOUNDRIES Inc. (United States)

    Anna Lio, Intel Corporation (United States)

  • Novel EUV Resist Concepts

    Robert L. Brainard, SUNY CNSE/SUNYIT (United States)

    Florian Gstrein, Intel Corporation (United States)

© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 10583", Proc. SPIE 10583, Extreme Ultraviolet (EUV) Lithography IX, 1058301 (8 May 2018); https://doi.org/10.1117/12.2325181
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
Back to Top