Paper
19 March 2018 Comparative stochastic process variation bands for N7, N5, and N3 at EUV
Author Affiliations +
Abstract
Stochastics effects are the ultimate limiter of optical lithography technology and are a major concern for next-generation technology nodes in EUV lithography. Following up on work published last year, we compare the performance of organic chemically-amplified and condensed metal-oxide resists exposed at different sizing doses using a proxy 2D SRAM layout. For each combination of material, technology node, and lithographic approach, we perform 550,000 physics based Monte-Carlo simulations of the SRAM cell. We look at many performance data, including stochastic process variation bands at fixed, nominal conditions assuming no variation in process parameters vs. the stochastic process variation bands obtained by inclusion of process parameters. Perturbations are applied to exposure dose, focus, chief-ray azimuthal angle, mask CD, stack thicknesses, and PEB temperature.

We study stochastic responses for three technology nodes:

• An SRAM cell for 7 nm technology node, with Numerical Aperture = 0.33 and patterned with organic chemically amplified resist

• An SRAM cell for 5 nm technology node, with Numerical Aperture = 0.33 and patterned with:

o Organic chemically amplified resist

o Fast photospeed organic chemically amplified resist

o Metal-oxide resist

• An SRAM cell for 3 nm technology node, patterned with organic chemically amplified resist and:

o Numerical Aperture = 0.33 in single exposure

o Numerical Aperture = 0.33 with double exposure

o Numerical Aperture = 0.55 with anamorphic pupil

For each case, we optimize mask bias, source illumination and process conditions across focus to maximize the optical contrast. We did not apply optical proximity correction to the mask. The purpose of the work is to evaluate the stochastic behavior of different features as a function of material strategy, technology node, and lithographic approach.
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Alessandro Vaglio Pret, Trey Graves, David Blankenship, Kunlun Bai, Stewart Robertson, Peter De Bisschop, and John J. Biafore "Comparative stochastic process variation bands for N7, N5, and N3 at EUV", Proc. SPIE 10583, Extreme Ultraviolet (EUV) Lithography IX, 105830K (19 March 2018); https://doi.org/10.1117/12.2299825
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Stochastic processes

Extreme ultraviolet lithography

Lithography

Computer simulations

Extreme ultraviolet

Chemically amplified resists

Photoresist materials

Back to Top