Open Access Paper
11 May 2018 Front Matter: Volume 10586
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 10586 including the Title Page, Copyright information, Table of Contents, Introduction, and Conference Committee listing.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Advances in Patterning Materials and Processes XXXV, edited by Christoph K. Hohle, Proceedings of SPIE Vol. 10586 (SPIE, Bellingham, WA, 2018) Seven-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510616646

ISBN: 9781510616653 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2018, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/18/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00003_PSISDG10586_1058601_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Aizawa, Ryo, 06

Amat, E., 0Q

Araki, Mitsunori, 13

Arceo de la Pena, Abraham, 0I

Arisawa, You, 0M

Asai, Masaya, 0J, 0M

Ayothi, Ramakrishnan, 0I

Azuma, Tsukasa, 0U

Baranowski, Paul, 1I

Belloni, Jacqueline, 1G

Bernard, G., 0Z

Biesemans, Serge, 06

Biolsi, Peter, 11

Bos, S., 15

Brainard, Robert L., 08, 0D, 1N, 1P

Brown, Alan G., 0N

Calderas, Eric, 0W

Cameron, Jim, 0L

Carcasi, Michael, 06

Cattani, Giordano, 0A

Cayrefourcq, Ian, 0Q, 15

Chandonait, Jonathan, 0D, 1N

Chang, Ching-Yu, 1D, 1O

Chang, Vencent, 1O

Chen, Wei-Chi, 1O

Chen, Xuanxuan, 0V

Cheng, Joy, 1O

Cheng, Yuan-Chung, 1O

Chevalier, Xavier, 0Z, 15

Cho, Jae Kyu, 12

Choi, Peter, 05

Clark, Michael B., Jr., 0L

Coley, Suzanne, 0L

Corliss, Daniel, 0I

Cui, Li, 0L

Cutler, Charlotte, 0L

Daugherty, Richard, 0W

Dawson, Guy, 0N

Dazai, Takahiro, 1F

Dei, Satoshi, 06

Delachat, F., 15

Denbeaux, Greg H., 08, 0D, 1N, 1P

Deng, Hai, 1E

Dervilllé, A., 0Z

De Silva, Anuja, 0I

De Simone, Danilo, 06, 0C

DeVilliers, Anton, 11

Diulus, J. Trey, 07

Dixit, Girish, 0A

Dolejsi, Moshe, 0P

Drent, Waut, 0J

Duggan, Mark, 12

Echigo, Masatoshi, 1K

Enomoto, Masashi, 06

Enomoto, Satoshi, 0H

Fang, Zhou, 1H

Farrell, Richard A., 11

Faruqui, Danish, 12

Feldman, Leonard C., 0K

Felix, Nelson M., 0I

Feng, Mu, 05

Fernandez-Regulez, M., 0Q

Fitzgibbons, Thomas C., 0L

Fonseca, Carlos, 05

Foubert, Philippe, 06

Foucher, J., 0Z

Fournel, F., 15

Franke, Elliott, 11

Frederick, Ryan T., 07

Fujimaki, Nishiki, 0G

Fujimori, Toru, 0G

Fujita, Mitsuhiro, 0G

Furukawa, Tsuyoshi, 0I

Furutani, Hajime, 0G

Garfunkel, Eric L., 0K

Gharbi, A., 0Q

Gibbons, Sean, 0D, 1N

Goldfarb, Dario L., 04

Greene, Daniel, 0L

Grzeskowiak, Steven, 08, 0D, 1N, 1P

Guerrero, Douglas, 0W

Guo, Jing, 0I

Gustafsson, Torgny, 0K

Halder, Sandip, 0A

Hamzik, James, 18

Harumoto, Masahiko, 0J, 0M

Hayakawa, Makoto, 06

Hellin, David, 0A

Herman, Gregory S., 07

Hetzer, David, 11

Hoang, Brian, 1A

Hockey, Mary Ann, 0W

Hong, Soonsang, 1M

Hongo, Koki, 0M

Hori, Masafumi, 06

Horiuchi, Junya, 1K

Hotalen, Jodi, 11

Hou, Xisen, 1I

Hsieh, Ken-Hsien, 1D

Huang, Yuan-Chien, 1D

Hutchison, Danielle C., 07, 0K

Hwang, Sung Min, 1M

Ide, Hiroyuki, 06

Iguchi, Naoya, 16

Imai, Takayuki, 0E, 13

Itani, Toshiro, 0E, 0F

Ito, Kiyohito, 05

Jaber, Jad, 18

Jaenen, Patrick, 0A

Jee, Tae Kwon, 05

Kamei, Yuya, 06

Kaminsky, Jake, 0D, 1N

Kandel, Yudhishthir, 1N

Kasahara, Yusuke, 0U

Kaur, Irvinder, 1I

Kaushik, Kumar, 05

Kawada, Yukihisa, 16

Kawasaki, Takayasu, 0E, 13

Ke, Iou-Sheng, 0L

Kim, Hyoung-ryeun, 1M

Kim, Samyoung, 1M

Kimura, Toru, 06

Ko, Akitero, 11

Ko, Tsung-Han, 1D

Kodera, Katsuyoshi, 0U

Kohyama, Tetsu, 17, 18

Koike, Kyohei, 05, 1F

Kondo, Yoshihiro, 06

Konishi, Yoshitaka, 06

Kozawa, Takahiro, 0H, 1G

Kubis, Michael, 0A

Kumar, Bharat, 04

LaBeaume, Paul, 0L

Lada, Tom, 0N

Lais, Joshua, 1A

Larrey, V., 15

Lee, Chih-Jie, 1D

Lee, Chung-Ju, 1D

Leonard, JoAnne, 0L

Leray, Philippe, 0A

Leusink, Gert, 11

Li, Cui, 0L

Li, Jiajing, 0V

Li, Mengjun, 0K

Li, Mingqi, 1I

Li, Xuemiao, 1E

Liang, Yichen, 0W

Lin, Chin-Hsiang, 1D

Lin, John, 1O

Liu, Cong, 1I

Longenbach, Travis, 12

Luca, Melisa, 0A

Ly, Saksatha, 18

Lyubinetsky, Igor, 07

Machida, Kohei, 0H

Makinoshima, Takashi, 1K

Manichev, Viacheslav, 0K

Marignier, Jean-Louis, 1G

Maruyama, Ken, 06

Maslow, Mark, 05

Masuda, Kazushi, 16

McClelland, Alexandra, 0N

Mehta, Sohan Singh, 12

Meli, Luciana, 0I

Meliorsz, Balint, 06

Melvin, Lawrence S., III, 1N

Metzler, Dominik, 0I

Mignot, Yann, 0I

Minekawa, Yukie, 06

Mirza, Fahad, 12

Miura, Kozue, 17

Miyagi, Ken, 0U

Miyake, Masayuki, 06

Mokhlespour, Salman, 0A

Montgomery, Warren, 0N

Morgan, Justin, 12

Morikita, Shinya, 05

Morita, Kazuyo, 0M

Moriya, Teruhiko, 06

Mosfavi, Mehran, 1G

Murakami, Tetsuya, 16

Murphy, Michael, 08, 0D, 1P

Nafus, Kathleen, 06

Nagahara, Seiji, 06

Nagai, Tomoki, 06

Nakagawa, Hisashi, 06

Nakashima, Hideo, 06

Nakayama, Chisayo, 0J, 0M

Naruoka, Takehiko, 06

Navarro, Christophe, 0Q, 15

Nealey, Paul, 0P, 0V

Nicolet, Célia, 0Q, 15

Nihashi, Wataru, 0G

Nyman, May, 07, 0K

Oh, Changyeol, 1M

Ohmori, Katsumi, 1F

Ohyashiki, Yasushi, 17

Oka, Hironori, 0G

Olsen, Morgan R., 07

Omatsu, Tadashi, 0G

O’Meara, David, 11

Ongayi, Owendi, 0L

Oshima, Akihiro, 06

Pain, L., 0Q

Park, Jong, 1I

Peng, Yu, 1E

Perego, M., 0Q

Perez-Murano, F., 0Q

Petersen, John S., 06, 0C

Peterson, Brennan, 0A

Phillipe, J.-C., 15

Pieczulewski, Charles, 0J

Pimenta-Barros, P., 0Q

Pollentier, Ivan, 0C

Popere, Bhooshan, 0L

Premachandran, C. S., 12

Rabie, Mohamed, 12

Raley, Angelique, 11

Raman, Thiagarajan, 12

Rathore, Ashish, 0C

Reidy, Sean, 12

Reijnen, Liesbeth, 05

Reynaud, G., 0Q

Rincon-Delgadillo, Paulina, 0V

Robinson, Alex P. G., 0N

Rowell, Kevin, 1I

Rutigliani, Vito, 0A

Sakita, Kyohei, 0G

Santillan, Julius Joseph, 0E, 0F

Saouaf, O., 0Q

Sato, Hironobu, 0U

Sato, Takashi, 1K

Schoofs, Stijn, 05

Seino, Yuriko, 0U

Seshadri, Indira, 0I

Seshimo, Takehiro, 1F

Shamma, Nader, 0A

Shen, Han-Ping, 1D

Shi, Heguang, 1H

Shima, Motoyuki, 06

Shimada, Ryo, 06

Shimizu, Yoko, 1K

Shiozawa, Takahiro, 06

Shiraishi, Gosuke, 06

Shiraishi, Masayuki, 0U

Shirakawa, Michihiro, 0G

Singh, Lovejeet, 0I

Sitterly, Jacob, 08, 1P

Sobieski, Daniel, 0A

Soedibyo, Rio A., 12

Spence, Chris, 05

Stock, Hans-Jürgen, 06

Stokes, Harold, 0J, 0M

Sullivan, Chris, 0L

Tagawa, Seiichi, 06, 1G

Takeshita, Kazuhiro, 06

Takigawa, Tomoaki, 1K

Tanaka, Yuji, 0J, 0M

Tapily, Kanda, 11

Teyssèdre, H., 15

Timoshkov, Vadim, 05

Tiron, R., 0Q

Tomono, Masaru, 06

Toriumi, Minoru, 0E, 13

Tsuchihashi, Toru, 0G

Tsukiyama, Koichi, 0E, 13

Tsuzuki, Shuichi, 16

Umeda, Toru, 16

Vandenberghe, Geert, 06, 0C

Vandereyken, Jelle, 0J

van der Straten, Koen, 0A

Vanelderen, Pieter, 0C

Vesters, Yannick, 0C

Viantka, Katja, 0A

Wajda, Cory, 11

Wang, Chien-Wei, 1O

Wang, Yu-Fu, 1O

Weineck, Gerald, 1A

Welling, Ulrich, 1N

Weng, Ming-Hui, 1D

Wise, Rich, 0A

Wong, Sabrina, 0L

Wu, Aiwen, 17, 18

Wu, Cheng-Han, 1D

Wu, Chieh-Han, 1D

Wu, Ping-Jui, 1O

Xu, Cheng Bai, 1I

Xu, Kui, 0W

Xu, Yongan, 0I

Yaegashi, Hidetami, 05, 1F

Yamada, Kazuki, 1F

Yamada, Shintaro, 0L

Yamamoto, Hiroki, 1G

Yamamoto, Kimiko, 0M

Yamashita, Fumiko, 05

Yamato, Masatoshi, 1F

Yang, Dongxu, 1H

Yeung, Marco, 12

Yoshida, Keisuke, 06

Yoshihara, Kosuke, 06

Yoshimura, Shota, 05

Yoshino, Takumi, 0H

Yu, Fangzhou, 0K

Zhou, Chun, 0V

Zhou, Jianuo, 1E

Conference Committee

Symposium Chair

  • Bruce W. Smith, Rochester Institute of Technology (United States)

Symposium Co-chair

  • Will Conley, Cymer LLC, an ASML company (United States)

Conference Chair

  • Christoph K. Hohle, Fraunhofer Institute for Photonic Microsystems (Germany)

Conference Co-chair

  • Roel Gronheid, KLA-Tencor/ICOS Belgium (Belgium)

Conference Program Committee

  • Robert Allen, IBM Almaden Research Center (United States)

  • Gilles R. Amblard, SAMSUNG Austin Semiconductor LLC (United States)

  • Ramakrishnan Ayothi, JSR Micro, Inc. (United States)

  • Sean D. Burns, IBM Corporation (United States)

  • Ryan Callahan, FUJIFILM Electronic Materials U.S.A., Inc. (United States)

  • Ralph R. Dammel, EMD Performance Materials Corporation (United States)

  • Danilo De Simone, IMEC (Belgium)

  • Chao Fang, KLA-Tencor Texas (United States)

  • Douglas Guerrero, Brewer Science, Inc. (United States)

  • Clifford L. Henderson, University of South Florida (United States)

  • Craig D. Higgins, GLOBALFOUNDRIES Inc. (United States)

  • Scott W. Jessen, Texas Instruments Inc. (United States)

  • Yoshio Kawai, Shin-Etsu Chemical Company, Ltd. (Japan)

  • Qinghuang Lin, IBM Thomas J. Watson Research Center (United States)

  • Nobuyuki N. Matsuzawa, Panasonic Corporation (Japan)

  • Steve S. Putna, Intel Corporation (United States)

  • Daniel P. Sanders, IBM Almaden Research Center (United States)

  • Mark H. Somervell, Tokyo Electron America, Inc. (United States)

  • Jason K. Stowers, Inpria (United States)

  • James W. Thackeray, Dow Electronic Materials (United States)

  • Raluca Tiron, CEA-LETI (France)

  • Rick Uchida, Tokyo Ohka Kogyo America, Inc. (United States)

  • Thomas I. Wallow, ASML Brion Technologies (United States)

Session Chairs

  • 1 Keynote Session

    Christoph K. Hohle, Fraunhofer-Institut für Photonische Mikrosysteme (Germany)

    Roel Gronheid, KLA-Tencor/ICOS Belgium (Belgium)

  • 2 EUV: Resist Processes: Joint session with conferences 10583 and 10586

    Thomas I. Wallow, ASML Brion Technologies(United States)

    James W. Thackeray, Dow Electronic Materials (United States)

  • 3 EUV: Metal-based Resists: Joint session with conferences 10583 and 10586

    Jason K. Stowers, Inpria Corporation (United States)

    Christopher S. Ngai, Applied Materials, Inc. (United States)

  • 4 Materials and Etch Integration: Joint session with conferences 10586 and 10589

    Qinghuang Lin, IBM Thomas J. Watson Research Center (United States)

    Sebastian U. Engelmann, IBM Thomas J. Watson Research Center (United States)

  • 5 EUV: Fundamentals

    Clifford L. Henderson, University of South Florida (United States)

    Craig D. Higgins, GLOBALFOUNDRIES Inc. (United States)

  • 6 EUV: Novel Processes

    Danilo De Simone, IMEC (Belgium)

    Rick Uchida, Tokyo Ohka Kogyo America, Inc. (United States)

  • 7 Hardmasks and Underlayer

    Douglas J. Guerrero, Brewer Science, Inc. (Belgium)

    Ryan Callahan, FUJIFILM Electronic Materials U.S.A., Inc. (United States)

  • 8 DSA Materials and Characterization: Joint session with conferences 10586 and 10584

    Ralph R. Dammel, EMD Performance Materials Corporation (United States)

    Chi-Chun Liu, IBM Corporation (United States)

  • 9 DSA Materials and Integration: Joint session with conferences 10586 and 10584

    Daniel Sanders, IBM Research - Almaden (United States)

    J. Alexander Liddle, National Institute of Standards and Technology (United States)

  • 10 DSA: Defectivity and High-chi

    Mark H. Somervell, Tokyo Electron America, Inc. (United States)

    Ralph R. Dammel, EMD Performance Materials Corporation (United States)

  • 11 DSA: Cylinder Forming BCP and Metrology

    Ramakrishnan Ayothi, JSR Micro, Inc. (United States)

    Raluca Tiron, CEA-LETI (France)

  • 12 Novel Processes

    Gilles R. Amblard, SAMSUNG Austin Semiconductor LLC (United States)

    Nobuyuki N. Matsuzawa, Panasonic Corporation (Japan)

© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 10586", Proc. SPIE 10586, Advances in Patterning Materials and Processes XXXV, 1058601 (11 May 2018); https://doi.org/10.1117/12.2323987
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Photoresist processing

Directed self assembly

Electron beam lithography

Extreme ultraviolet

Lithium

Photoresist materials

Back to Top