Presentation + Paper
20 March 2019 SPARC: a novel technology for depositing conformal dielectric thin films with compositional tuning for etch selectivity
Author Affiliations +
Abstract
Due to the difficulty in scaling overlay commensurate with the required CD reduction, self-alignment schemes are becoming more and more pervasive. To enable such schemes, there is a need to have materials that have different etch selectivity (“colors”) compared to the standard Si, SiO2, SiN and C commonly available today. Development of novel materials with excellent conformality is needed to enable such “multi-color” material schemes. Plasma enhanced chemical vapor deposition (PECVD) has been used to deposit a wide range of dielectric films whose composition can be modified for etch selectivity. But these films are limited to planar applications. With topography, conformality becomes a critical requirement, along with the ability to tune material properties. Atomic layer deposition (ALD) is the method of choice for depositing conformal silicon oxides and nitrides thin films but has difficulty in tuning film composition or depositing films containing Si-C bonds. Lam Research has pioneered a new deposition technique, called SPARC, that fills this void between what PECVD and ALD techniques can deposit. This approach enables deposition of highly conformal, dense silicon oxy-carbide (SiCO) thin films, which are used as low-k spacers in both Logic and DRAM devices. The technology enables films with uniform infeature composition, and unlike ALD, lends itself to easy tuning of film composition to optimize etch selectivity for a desired application. In addition to SiCO, the same approach was then used to develop highly dense and conformal silicon carbon nitride (SiCN) films, again with the ability to tune composition. It is demonstrated that Si-C content is the primary driver for selectivity in both these film types. The unique capabilities of the SPARC deposition to access high quality silicon-based films has resulted in its implementation in both logic and memory device manufacturing. This deposition method can also extend to depositing novel materials. For instance, high-quality conformal boron-based films, such as boron carbide (BC) and boron carbon nitride (BCN), have been deposited and show different sputtering and etch behavior than the Si-based counterparts. For this reason, BC or BCN based films could add a distinct etch solution for advanced patterning. More broadly, the wide range of conformal films and compositions possible with this technology makes SPARC a unique asset to address etch selectivity requirements of advanced integration schemes.
Conference Presentation
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Bhadri Varadarajan "SPARC: a novel technology for depositing conformal dielectric thin films with compositional tuning for etch selectivity", Proc. SPIE 10963, Advanced Etch Technology for Nanopatterning VIII, 1096307 (20 March 2019); https://doi.org/10.1117/12.2517407
Lens.org Logo
CITATIONS
Cited by 5 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Silicon carbide

Carbon

Silicon

Atomic layer deposition

Silicon films

Plasma enhanced chemical vapor deposition

Back to Top