Presentation + Paper
22 February 2021 Improved non-CAR type hemicellulose resists for EUV lithography
Kazuyo Morita, Yasuaki Tanaka, Kimiko Yamamoto, Hiroki Tanaka, Masahiko Harumoto, Yuji Tanaka, Chisayo Mori, Tomohiro Motono, Harold Stokes, Andreia Figueiredo dos Santos, Masaya Asai
Author Affiliations +
Abstract
As the next generation of Extreame Ultraviolet (EUV) lithography, high-NA EUV is proposed. EUV resist is one of the challenges to achieve it. Non-CAR type hemicellulose resist was proposed last year and improved for high-NA EUV resist. The target is stable and high resolution chain scission resist. It was confirmed that hemicellulose works as a radical source by electron spin resonanse(ESR) analysis and hemicellulose improves EUV sensitivity from 50 to 28 mJ/cm2. The primary advantage of the hemicellulose resist is its excellent wafer transportability. The properties of resist such as low metal contamination, resist film uniformity, and edge cut were confirmed. The optimization of the lithography process improves the resolution of hemicellulose resist and pitch 26 nm L/S pattern was obtained with OPALTM-RH02. Furthermore, hole pattern of pitch 40 nm was also obtained.
Conference Presentation
© (2021) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kazuyo Morita, Yasuaki Tanaka, Kimiko Yamamoto, Hiroki Tanaka, Masahiko Harumoto, Yuji Tanaka, Chisayo Mori, Tomohiro Motono, Harold Stokes, Andreia Figueiredo dos Santos, and Masaya Asai "Improved non-CAR type hemicellulose resists for EUV lithography", Proc. SPIE 11612, Advances in Patterning Materials and Processes XXXVIII, 1161207 (22 February 2021); https://doi.org/10.1117/12.2583761
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Extreme ultraviolet

Semiconducting wafers

Lithography

Photoresist processing

Polymers

Silicon

Back to Top