Presentation + Paper
9 April 2024 Patterning optimization for single mask bit-line-periphery and storage-node-landing-pad DRAM layers using 0.33NA EUV lithography at the resolution limit
Van Tuong Pham, Jeonghoon Lee, Kaushik Sah, Ying-Lin Chen, Seonggil Heo, Soobin Hwang, Kenichi Miyaguchi, Bappaditya Dey, Maria Chistiakova, Peter De Schepper, Philippe Bezard, Sara Paolillo, Danilo De Simone, Hyo Seon Suh, Victor Blanco
Author Affiliations +
Abstract
To continue the future of dynamic random-access memory (DRAM) manufacturing with EUV and high NA EUV, alternative techniques for nanofabrication are required to reduce the cost and simplify the processes. In this report, we present the results of the development of a single mask solution with 0.33NA EUV lithography for two important layers, bit-line-periphery (BLP) and storage-node-landing-pad (SNLP), in DRAM manufacturing. The methodology has been established for our examination and assessment of the process window (PW) of the critical dimensions (CD) and the defectivity of the SNLP and BLP layers. Based on this methodology, a pitch 34nm DRAM has been optimized with the spin-on metal oxide resist (MOR) and dark field of a binary mask. We obtained the large overlapping PW of CDs (with a depth of focus of 119nm and an exposure latitude of 25% at a dose-to-size of 89.4mJ cm-2) in the free-defect ranges (20mJ cm-2). We achieved around ~22% dose reduction using the same processes with spin-on MOR applied to the new design of a low-n mask. We observed a pitch of 32nm SNLP and BLP with a single mask layer due to a low-n mask. Additionally, the process window discovery (PWD) methodology for defect inspection in the large area of SNLP and BLP shows good progress which can be applied for optimized conditions. We believe that our results show the resolution limit of 0.33NA lithography for the single mask print SNLP-BLP and 0.55NA EUV is needed for the next generations of DRAM.
Conference Presentation
(2024) Published by SPIE. Downloading of the abstract is permitted for personal use only.
Van Tuong Pham, Jeonghoon Lee, Kaushik Sah, Ying-Lin Chen, Seonggil Heo, Soobin Hwang, Kenichi Miyaguchi, Bappaditya Dey, Maria Chistiakova, Peter De Schepper, Philippe Bezard, Sara Paolillo, Danilo De Simone, Hyo Seon Suh, and Victor Blanco "Patterning optimization for single mask bit-line-periphery and storage-node-landing-pad DRAM layers using 0.33NA EUV lithography at the resolution limit", Proc. SPIE 12957, Advances in Patterning Materials and Processes XLI, 129570V (9 April 2024); https://doi.org/10.1117/12.3010934
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Printing

Extreme ultraviolet

Optical lithography

Etching

Design

Extreme ultraviolet lithography

Cadmium

RELATED CONTENT

EUV single patterning validation of curvilinear routing
Proceedings of SPIE (April 28 2023)
Sub-20nm tip-to-tip enabled by anti-spacer patterning
Proceedings of SPIE (April 09 2024)
Staggered pillar patterning using 0.33NA EUV lithography
Proceedings of SPIE (March 26 2019)
Stochastic effects in EUV lithography
Proceedings of SPIE (March 19 2018)
EUV mask pattern defect printability
Proceedings of SPIE (May 20 2006)

Back to Top