Paper
21 March 2006 Mask topography effect with polarization at hyper NA
Author Affiliations +
Abstract
As ArF immersion lithography is adopted beyond the 45 nm node, the minimum mask feature size will become equal to or smaller than the wavelength of the light. For such situations, polarization by the mask will play a very important role on imaging quality. In addition, TM and TE diffraction efficiencies for very narrow grating masks will depend significantly on the mask materials. Also, they are affected by variations of absorber thickness, sidewall angle and material optical properties. In this paper, we investigate how the aquatic images with unpolarized and properly polarized illumination on binary image masks, attenuated phase shift masks (attPSM) and alternating aperture phase shift masks (altPSM) are affected by those mask parameters, using rigorous electro-magnetic field simulator. In terms of mask topography effects, there are some difficulties with phase shift mask technology with unpolarized illumination beyond 45 nm node. We will need to control absorber thickness within 2.6% for attPSM with unpolarized illumination and sidewall angle of π-shifter trenches within 1 degree for altPSM with unpolarized illumination.
© (2006) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Norihiro Yamamoto, Jongwook Kye, and Harry J. Levinson "Mask topography effect with polarization at hyper NA", Proc. SPIE 6154, Optical Microlithography XIX, 61544F (21 March 2006); https://doi.org/10.1117/12.657155
Lens.org Logo
CITATIONS
Cited by 4 scholarly publications and 2 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Polarization

Critical dimension metrology

Diffraction

Refractive index

Phase shifts

Binary data

RELATED CONTENT


Back to Top