Paper
15 April 2011 Improving material-specific dispense processes for low-defect coatings
Nick Brakensiek, Jennifer Braggin, John Berron, Raul Ramirez, Karl Anderson, Brian Smith
Author Affiliations +
Abstract
The drive to smaller, less expensive, and faster devices requires radical changes in material development. The increased material requirements drive complex processes that in turn drive equipment requirements. For the photolithography area this demand for improved materials is seen in growing requests for device level-specific tuning of organic bottom antireflective coatings (BARCs) or photoresists for certain imaging requirements, such as numerical aperture, immersion conditions, and optical parameters. To test and utilize the myriad of BARC materials, there is a need to install them on a coater-track quickly and efficiently. Installation typically requires a new filter installation, dispense line cleaning, and usually a minimum of 8-10 L of material to clear out bubbles and other nuisance defects before coating test wafers. As the number of materials increases, the ability to quickly prime a new filter becomes increasingly important. In this study, the Entegris IntelliGen® Mini dispense system was utilized to test various pump priming processes to ultimately minimize the volume purged to reach a defect baseline. In addition, the impacts of the filter media and filter retention on priming efficiency were studied. Results show that priming processes that were not matched to the filter in use could actually cause the defects to increase during the process, thus requiring additional purging to reach baseline, and thereby negating any time or volume savings. Properly programmed priming recipes reduced the purging time and the purging volume by 50-70%.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Nick Brakensiek, Jennifer Braggin, John Berron, Raul Ramirez, Karl Anderson, and Brian Smith "Improving material-specific dispense processes for low-defect coatings", Proc. SPIE 7972, Advances in Resist Materials and Processing Technology XXVIII, 79722Z (15 April 2011); https://doi.org/10.1117/12.879481
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Manufacturing

Industrial chemicals

Lithography

Materials processing

Photoresist materials

Bottom antireflective coatings

Back to Top