Paper
29 March 2013 Noble approaches on double-patterning process toward sub-15nm
Author Affiliations +
Abstract
Double Patterning process is one of the most promising lithography techniques for sub-40nm half-pitch technology node. Especially, Self-aligned spacer Double Patterning (SADP) has been adopted in HVM of NAND FLASH memory device[1], and it is expanding to employ in DRAM and logic device. If EUVL should not be ready on time, the industry will likely further extend DP to multiple patterning. Our proposed photo-resist core SADP has wide extendibility to Self-aligned Pitch-Tripling (SATP) and Pitch-Quadrupling (SAQP) achieved 11nm hp as introduced in previous our study[2]. Sa-MP has been required to mitigate a process complexity and cost impact. Furthermore, Process variability, Pattern fidelity, CD metrology for sub 20nm pattern also has to be considered. Beside the invention of novel technical solutions, Double-patterning process is evolving steadily and its applicability is widened.
© (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hidetami Yaegashi, Kenichi Oyama, Arisa Hara, Sakurako Natori, Shohei Yamauchi, and Masatoshi Yamato "Noble approaches on double-patterning process toward sub-15nm", Proc. SPIE 8685, Advanced Etch Technology for Nanopatterning II, 86850M (29 March 2013); https://doi.org/10.1117/12.2011962
Lens.org Logo
CITATIONS
Cited by 4 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Double patterning technology

Line edge roughness

Etching

Optical lithography

Lithography

Photoresist processing

Line width roughness

Back to Top