New lithography techniques like Double Patterning, Computational Lithography and Source Mask Optimization will be
used to drive immersion lithography at 193nm to its limits. The photomask will become more and more a critical optical
element in the scanner beam path. Precise image transfer of the circuit features into the resist will be key for the mask
manufacture and its qualification. The extremely high MEEF values in low k1 lithography dramatically amplify small
process variations on the mask features to the wafer print. Complex mask features using sophisticated OPC and assist
features require mask metrology under scanner conditions which measured the optical performance of the mask. Double
patterning technology tightens the registration and CDU specification of the patterns at the same time. Especially,
overlay becomes more and more critical and must be ensured on every die. In-die registration and CD metrology on
arbitrary features at scanner wavelength can measure the mask performance precisely and ensure correct print results and
high yield in the wafer fab. Moreover even a complete set of phase shift measurements, CD and registration
measurements in the die features can help to ensure that mask manufacture and its qualification provide indeed the
largest process window for wafer printing. It is key for higher yield and better performance. In this paper an overview about several actinic in-die metrology techniques will be given. Focus will be on application of in-die CD measurements using the Zeiss WLCD tool as well as in-die registration measurements using the Zeiss Prove
tool will be shown and discussed.
A case study was carried out investigating the influence of different transmission and phase shift materials on lithographic performance at 45 nm node. The bilayer approach for embedded attenuated Phase Shift Masks (EAPSM) offers the advantages to adjust phase shift and transmission independently. The transmission of Ta/SiO2 can be tuned up to 40% depending on the required application. Three different PSM blank types with the stacks Ta/SiO2-6%, Ta/SiO2-30% and Ta/SiON-30% have been manufactured and characterized. Afterwards, an identical line pattern, consisting of different feature sizes and duty cycles, has been patterned in each of the three PSM types as well as in the MoSi-6% for reference. Using the AIMSTM 45-193i tool we have evaluated the lithographic performance of the four PSM in terms of contrast and process latitude using unpolarized and TE polarized illumination. The case study showed that the process window for Ta/SiO2-6% is comparable to standard MoSi-6%. For dense line application a 6% EAPSM is preferable. The Ta/SiO2-30% EAPSM provides a significantly larger process window for higher duty cycles compared to MoSi-6%. This means a 50% increase in depth of focus (DOF) at 10% exposure latitude (EL). Therefore for logic application with higher duty cycles a EAPSM material with 30% transmission is preferable.
Critical dimension (CD) metrology is an important process step within the wafer fab. Knowledge of the CD values at resist level provides a reliable mechanism for the prediction of device performance. Ultimately tolerances of device electrical performance drive the wafer linewidth specifications of the lithography group. Staying within this budget is influenced mainly by the scanner settings, resist process and photomask quality. At the 65nm node the ITRS roadmap calls for sub-3nm photomask CD uniformity to support a sub-3nm wafer level CD uniformity. Meeting these targets has proven to be a challenge. What can be inferred from these specifications is that photomask level CD performance is the direct contributor to wafer level CD performance. With respect to phase shift masks, criteria such as phase and transmission control are also tightened with each technology node.
A comprehensive study is presented supporting the use of photomask aerial image emulation CD metrology to predict wafer level Across Chip Linewidth Variation (ACLV). Using the aerial image can provide more accurate wafer level prediction because it inherently includes all contributors to image formation such as the physical CD, phase, transmission, sidewall angle, and other material properties. Aerial images from different photomask types were captured to provide across chip CD values. Aerial image measurements were completed using an AIMSTMfab193i with its through-pellicle data acquisition capability including the Global CDU MapTM software option for AIMSTM tools. The through-pellicle data acquisition capability is an essential prerequisite for capturing final CD data (after final clean and pellicle mounting) before the photomask ships or for re-qualification at the wafer fab. Data was also collected on these photomasks using a conventional CD-SEM metrology system with the pellicles removed. A comparison was then made to wafer prints demonstrating the benefit of using aerial image CD metrology.
Immersion lithography offers the semiconductor industry the chance to extend the current ArF processes to smaller
nodes before switching to a shorter wavelength. The move to immersion will require increased attention to the
photomask along with new effects influencing the aerial image formation as the numerical apertures (NA) of scanners
move up to at least 0.93 and even higher. Feature sizes on the photomask become comparable or even smaller than the
wavelength and hence act more like wire grid polaris ers which lead to polarisation effects. As of today AIMSTM fab
tools are in operation worldwide. The novel AIMSTM fab 193i tool with a maximum NA of 0.93 is the latest aerial image
measurement system for ArF-lithography emulation down to the 65nm node. Common adjustments are numerical
aperture, illumination type and partial illumination coherence to match the conditions in 193nm scanners. In addition to
non-polarized illumination, the AIMSTM fab 193i allows the user to select linear x and y polarised light for different
settings and types, e.g. off-axis annular, quadrupole or dipole illumination. In this paper the polarisation effects of
different photomask features are explored by comparing measurement results using linear polarised illumination parallel
and perpendicular to line and space patterns and non-polarised illumination. Different MoSiON embedded phase shift
masks have been investigated at the highest possible NA=0.93 and for different half-pitches from 500nm to 260nm, the
latter corresponding to the 65nm node at the wafer level.
Immersion lithography offers the semiconductor industry the opportunity to extend current ArF processes before switching to shorter wavelengths. As numerical apertures of scanners for hyper NA move above 1.0 with immersion lithography, increased attention must be paid to the photomask or reticle and its wafer printability. Feature sizes on the photomask become increasingly critical as they behave more like partial wire grid polarisers, as they become comparable to, or smaller than the wavelength. Besides challenges to address reticle polarisation effects, lithographers must also consider the polarisation state of the illumination and subsequently the contrast loss for light with a TM polarisation state. Such an effect, also called the vector effect, is caused by the increasing angle of incidence of the diffracted light for larger numerical apertures on the scanner. Therefore, for wafer printing using hyper NA scanners, the industry consensus is that TE polarised illumination must be used to meet the stringent requirements of imaging contrast.
In this paper, initial results of measurements using the optical test stand and the alpha tool of a completely new AIMSTM tool for the 45nm node will be presented. The system covers all aspects of immersion and polarisation lithographic emulation. Measurements have been made on binary and phase shift masks with different sizes of features and on programmed defects.
The bilayer approach of embedded attenuated Phase Shift Masks (EAPSM), causing phase shift and transmission by two different materials offers advantages compared to the single layer solution. Three different PSM blank types with the stacks Ta/SiO2-6%, Ta/SiO2-30% and Ta/SiON-30% have been manufactured and characterized. Afterwards, identical line pattern of different feature sizes and duty cycles have been patterned in each of the three PSM types as well as in MoSi for reference. Using the AIMSTM fab 193i tool we have evaluated the lithographic performance of the four PSM in terms of contrast, normalized image slope (NILS), process latitude and process window. Improvements of up to 20% contrast, 10% NILS and 65% exposure latitude have been achieved for the Ta/SiO2 6% stack compared to the MoSi material with the same transmittance. In addition, the high transmission PSM clearly offers advantages in contrast, NILS and exposure latitude especially for smaller features.
Immersion lithography offers the semiconductor industry an opportunity to extend the current ArF processes to smaller nodes before switching to a shorter wavelength. The transition to immersion will require increased attention to the photomask along with new effects influencing the aerial image formation as the numerical apertures (NA) of scanners move up to at least 0.93 and beyond. Feature sizes on the photomask become comparable to, or even smaller than the wavelength and hence act more like wire grid polarisers which lead to polarisation effects. As of today AIMSTM fab tools are in operation worldwide, with the novel AIMSTM fab 193i offering a maximum NA of 0.93 and is the latest aerial image measurement system for ArF-lithography emulation down to the 65nm node. Common adjustments include numerical aperture, illumination type and partial illumination coherence to match the conditions in 193nm scanners. In addition to unpolarised illumination, the AIMSTM fab 193i allows the user to select linear x and y polarised light for different settings and types, e.g. off-axis annular, quadrupole or dipole illumination. In this paper the polarisation effects of different photomask features are explored by comparing measurement results using linear polarised illumination parallel and perpendicular to line and space patterns and non-polarised illumination. A new scanner mode will be presented for the investigation of contrast loss due to polarisation effects from imaging.
With decreasing structure sizes on masks also the acceptable CD variation corridor for printing on the wafer
and therefore, the maximum allowed defect size is decreasing. This has not only implications to the accuracy
and repeatability of front-end processes such as writers, etchers, etc. but also challenges defect inspection and
qualification. Defect qualification is usually done by an AIMSTM tool which optically simulates the aerial image
of the structures by applying the same illumination conditions as the wafer fabs' scanners. As lithographers
continue to produce smaller and smaller structures, the as well decreasing acceptable design variation pushes the
AIMSTM evaluation step by step towards a metrology method. Thus, an advanced measurement capability of
the AIMSTM tool is mandatory to reliably disposition defects within these small margins. It is influenced by the
performance of illumination, imaging homogeneity, and stability. A possible measure for the tool's capability
is the (long term) repeatability, i.e. the 3σ-variance of the tool by evaluating the same defect with a certain
frequency over several weeks. The AIMSTM fab 193i platform takes into account the tightened requirements
with respect to homogeneity and stability by improved optics such as a new beam homogenizer module, new
energy monitoring and vibration isolation concept. In this paper we show data on the long term repeatability
compared between the first generation AIMSTM fab 193SE and the new AIMSTM fab 193i platform and discuss
the implications on the measurement capabilities of the two platforms.
The use of an Alternating Phase-Shift Mask (AltPSM) is a strong resolution enhancement technique combining high contrast and a low Mask Error Enhancement Factor with a large focus depth. However, image (or intensity) imbalance, which is intrinsically related to AltPSM imaging, is known to produce focus-dependent feature shifts. The evolution towards hyper NA immersion lithography systems and the associated shrinkage of feature sizes and pitches also puts stronger demands on the placement of the printed features, in order to meet the overlay requirements. Therefore, a good image imbalance reduction strategy is important for a successful implementation of AltPSM in manufacturing. A first step towards this implementation is to find a through-pitch imaging solution guaranteeing both the line width and line position to be within CD and overlay specifications in a sufficiently large dose-focus window. In this paper, we present a strategy to evaluate AltPSM imaging results by monitoring the edge displacement of the printed feature caused by image imbalance. The proposed method insures correct line printing within the calculated process window, taking image imbalance into account. We experimentally assess the imaging performance of a current state-of-the-art dry etched AltPSM with a nominal trench bias on a 0.85 NA immersion scanner. The results demonstrate that a through-pitch solution for printing 65 nm lines on wafer from P140 nm to isolated lines exists that meets both the CD and overlay requirements. Moreover, we have developed a methodology that effectively solves the image imbalance using a pitch-dependent trench bias in combination with an optimized etch depth, which should be chosen in accordance with the dose used for printing the 65 nm line.
Different types of phase shifting masks in combination with the proper illumination condition are widely used to allow 193nm lithography to print ever-decreasing pitches with sufficient process window. A viable option for the 65nm and 45nm node is chromeless phase lithography (CPL), which combines a chromeless phase shift mask and 193nm off-axis illumination. Previously, we demonstrated that imaging-wise the π-shift is not entirely reached in narrow mask features, although etched to the nominal etch depth. This is caused by the mask 3D effect, and manifests itself in through focus Bossung tilt/shift issues. In particular, 3D mask simulations suggested that an effective π phase shift could be recovered for the narrow chromeless mask features by applying a larger than nominal etch depth. In this work, the applicability of this solution is considered in more detail. Experimental through-pitch solutions for regular line/space patterns using CPL, obtained on three latest generations of ASML ArF scanners: 0.75NA (PAS5500 /1100), 0.85NA (XT:1250Di), and 0.93NA (XT:1400i) are demonstrated. Importantly, it is illustrated that mask etch depth adjustment is a widely applicable practical solution to the CPL Bossung tilt/shift issue. The effect of source shape, increasing NA, as well as the effect of immersion versus 'dry' lithography is evaluated. Additionally, the ultimate resolution limits at 0.93NA (XT:1400) are explored. Data obtained on a Zeiss AIMS fab193i shows to be in line with both the exposure data and the 3D simulated data, confirming the clear reduction of focus tilt/shift when using the larger than nominal etch depth.
The Aerial Image Measurement System, AIMS, for 193nm lithography emulation is established as a standard for the rapid prediction of wafer printability for critical structures including dense patterns and defects or repairs on masks. The main benefit of AIMS is to save expensive image qualification consisting of test wafer exposures followed by wafer CD-SEM resist or wafer analysis. By adjustment of numerical aperture (NA), illumination type and partial coherence (σ) to match any given stepper/ scanner, AIMS predicts the printability of 193nm reticles such as binary with, or without OPC and phase shifting. A new AIMS fab 193 second generation system with a maximum NA of 0.93 is now available. Improvements in field uniformity, stability over time, measurement automation and higher throughput meet the challenging requirements of the 65nm node. A new function, “Global CD Map” can be applied to automatically measure and analyse the global CD uniformity of repeating structures across a reticle. With the options of extended depth-of-focus (EDOF) software and the upcoming linear polarisation capability in the illumination the new AIMS fab 193 second generation system is able to cover both dry and immersion requirements for NA < 1. Rigorous simulations have been performed to study the effects of polarisation for imaging by comparing the aerial image of the AIMS to the resist image of the scanner.
The "AIMS fab 193" tool is an aerial image measurement system for ArF-lithography emulation and is in operation worldwide. By adjustment of numerical aperture, illumination type and partial coherence parameter to match the conditions in 193nm steppers or scanners, it can emulate lithographic exposure tools for any type of reticles such as binary masks, OPC and phase shift structures, down to the 65nm node. The AIMSTM fab 193 allows the rapid prediction of wafer printability of critical features, such as dense patterns or contacts, defects or repairs on masks without the need to prepare real wafer prints using the stepper or scanner. Recently, a high resolution mode has been introduced based on a sophisticated microscope objective, characterized by a high numerical aperture (NA) and large working distance that allows working with pellicle mounted mask. With this lens system a high contrast image with resolution down to 150 nm lines and spaces (L/S) on mask has been demonstrated. In addition to the AIMSTM through-focus mode for printability which is optically equivalent to the latent image in the photo resist of a wafer, the high resolution mode allows the imaging of mask structures in focus and at printing wavelength to review defects or repairs. Such viewing capability is also helpful at the binary stage of a first writing step in the mask manufacturing process. In this work we will present application results for defects and critical features using both, aerial imaging and high resolution mode.
A new, second generation AIMS fab 193 system has been developed which is capable of emulating lithographic imaging of any type of reticles such as binary and phase shift masks (PSM) including resolution enhancement technologies (RET) such as optical proximity correction (OPC) or scatter bars. The system emulates the imaging process by adjustment of the lithography equivalent illumination and imaging conditions of 193nm wafer steppers including circular, annular, dipole and quadrupole type illumination modes. The AIMS fab 193 allows a rapid prediction of wafer printability of critical mask features, including dense patterns and contacts, defects or repairs by acquiring through-focus image stacks by means of a CCD camera followed by quantitative image analysis. Moreover the technology can be readily applied to directly determine the process window of a given mask under stepper imaging conditions. Since data acquisition is performed electronically, AIMS in many applications replaces the need for costly and time consuming wafer prints using a wafer stepper/ scanner followed by CD SEM resist or wafer analysis. The AIMS fab 193 second generation system is designed for 193nm lithography mask printing predictability down to the 65nm node. In addition to hardware improvements a new modular AIMS software is introduced allowing for a fully automated operation mode. Multiple pre-defined points can be visited and through-focus AIMS measurements can be executed automatically in a recipe based mode. To increase the effectiveness of the automated operation mode, the throughput of the system to locate the area of interest, and to acquire the through-focus images is increased by almost a factor of two in comparison with the first generation AIMS systems. In addition a new software plug-in concept is realised for the tools. One new feature has been successfully introduced as "Global CD Map", enabling automated investigation of global mask quality based on the local determination of wafer level CD at multiple pre-defined measurement points on the mask. This feature supports both reticle manufacturing in mask shops and lithographic process analysis in the Wafer Fab environment. Based on the newly developed software the AIMS can speed up mask development in both the design process and OPC verification.
The Aerial Image Measurement System (AIMS) for 193nm lithography emulation is established as a standard for the rapid prediction of wafer printability of critical features, such as dense patterns or contacts, defects or repairs on masks. The benefit of AIMS is to save expensive image qualification consisting of test wafer exposures followed by wafer SEM measurements. By adjustment of numerical aperture, illumination type and partial coherence to match the stepper or scanner, AIMS predicts the printability of any 193nm reticle like binary, OPC and PSM. The newly available 193nm 2nd generation AIMS fab systems are able to emulate numerical apertures (NA) up to 0.92 and provide a capability down to 65nm node regardless of the use of an immersion liquid or dry conditions. Rigorous simulation studies have been performed to study the matching of AIMS and scanner results at NA = 0.92 and to study the extension of the AIMS technique for immersion lithography emulation of hyper NA up to at least 1.4. Strong polarization effects depending on mask patterns and material as well as imaging effects will occur below the 65nm node. It will be shown that using the polarization capabilities of such a future immersion AIMS tool will provide a very suitable immersion lithography emulator. Together with low k1 values and polarization effects, 193nm mask design and manufacturing will face increased challenges for design and OPC placement at the 65nm node and below. Aerial image measurements of test masks using AIMS will then be crucial to speed up mask development. We propose to measure reticles on critical points as defined by simulation or areas of concern for manufacture with the AIMS system to analyze defect printability and mask manufacturability.
The frequent occurrence of crystal growth defects on the patterned surface and back glass of critical layer reticles in 193nm lithography has been seen at most advanced fabs around the world. While frequent contamination inspections using regimented sample plans help monitor the growth of crystals and protect yield, no clear solutions have been found to eliminate this progressive defect growth. The recently proposed “Advanced Reticle Defect Disposition Process” (ARDD) was applied successfully for the first time. This process employs a high-throughput inspection system based on the STARlight architecture and - after defect reduction through algorithms - a high-resolution AIMS review system, utilizing the newest networked data connectivity to directly exchange inspection report data and review results. The printability of crystal growth defects is highly variable depending on which surface the defects occur, the size of the defects, and the proximity of the defect to a printing pattern. Crystal growth defects can have different transmittance and phase depending on the lithography wavelength and we found in our investigations a significant change in transmission loss depending on lithography settings like NA and sigma. Such effects may result in severe reduction of the process window, and affect yield. Progressive reticle defects have been characterized on a production reticle applying the ARDD process. It is shown that emulating any given stepper/ scanner settings is necessary to measure the effect of these types of defects on transmittance and that through-focus AIMS evaluation is required to accurately assess the printability of crystal growth defects in terms of process window on wafer. Both features are important components of an overall effective and economical reticle monitor strategy, e.g. in order to optimize the reticle cleaning cycles and thus the reticle lifetime.
Reticle inspection and qualification is getting very important due to the overall shrinking feature sizes on chips and CD values less than the exposure wavelength. Mask defects will matter increasingly and successful defect disposition and image qualification is becoming essential to improve yield. Currently ongoing studies demonstrate the beneficial use of AIMSTM* (Aerial Image Measuring System) -besides its application in mask shops like repair verification- for various wafer fab applications like Incoming Qualitiy Check (IQC), Automated Reticle Defect Disposition (ARDD)1, OPC verification or litho process evaluation in engineering without the use of stepper time and image qualification through wafer SEM evaluation.
Among the important questions for the use of an aerial image measuring system is the level on which different tools compare to each other in terms of critical system performance parameters in order to judge the results of the data analysis in a global way.
In this work we conducted a tool to tool comparison study of AIMSTM fab 193 systems investigating parameters like: Normalized illumination uniformity, CD (critical dimension) uniformity over field, and static CD repeatability over time in x- and y-directions. The study is based on the evaluation of a data base collected with typical feature sizes of 1μm on the mask, ensuring with such feature sizes that tool results are independent of mask features being close to the resolution limit or the printability capability. Typical settings are NA = 0.7 and circular sigma = 0.6 on a set of tools in the field as well as in-house. In addition the performance of the tools will be discussed in terms of a specific application, global CD mapping, for use in process control. It can be applied for different use in wafer fab and mask shop environment.
The capability of a high NA, large working distance, microscope objective was demonstrated by investigating different mask features. The microscope objective is based on a hybrid concept combining diffractive and refractive optical elements. Resolution down to 125 nm lines and spaces (L/S) is demonstrated by investigating periodic chrome on glass structures. A significant additional improvement of the resolution is achieved by inducing a solid immersion lens (SIL).
Measurement by AIMS is the final step of mask defect control, and its accuracy is the critical issue to make guaranty and improve the mask quality. AIMS157 has developed by Carl Zeiss SMS GmbH and is expected to make a contribution to accelerate the 157nm lithography technology development. AIMS157 has been challenging to solve 157nm specific optical issues with accuracy for 65nm node photomask specifications. This paper discusses the defect measurement by AIMS157. Evaluation using programmed defect mask, repeatability is analyzed changing the optical parameters. Static and dynamic measurements were evaluated and the result shows the improved accuracy. It shows the possibility to be applied on 65nm node and smaller feature size.
The Aerial Image Measurement System (AIMS)* for 193 nm lithography emulation has been brought into operation successfully worldwide. A second generation system comprising 193 nm AIMS capability, mini-environment and SMIF, the AIMS fab 193 plus is currently introduced into the market. By adjustment of numerical aperture (NA), illumination type and partial illumination coherence to match the conditions in 193 nm steppers or scanners, it can emulate the exposure tool for any type of reticles like binary, OPC and PSM down to the 65 nm node. The system allows a rapid prediction of wafer printability of defects or defect repairs, and critical features, like dense patterns or contacts on the masks without the need to perform expensive image qualification consisting of test wafer exposures followed by SEM measurements. Therefore, AIMS is a mask quality verification standard for high-end photo masks and established in mask shops worldwide. The progress on the AIMS technology described in this paper will highlight that besides mask shops there will be a very beneficial use of the AIMS in the wafer fab and we propose an Automated Reticle Defect Disposition (ARDD) process. With smaller nodes, where design rules are 65 nm or less, it is expected that smaller defects on reticles will occur in increasing numbers in the wafer fab. These smaller mask defects will matter more and more and become a serious yield limiting factor. With increasing mask prices and increasing number of defects and severability on reticles it will become cost beneficial to perform defect disposition on the reticles in wafer production. Currently ongoing studies demonstrate AIMS benefits for wafer fab applications. An outlook will be given for extension of 193 nm aerial imaging down to the 45 nm node based on emulation of immersion scanners.
The Aerial Image Measurement System (AIMS) for 193 nm lithography emulation has been brought into operation worldwide successfully. Adjusting optical equivalent settings to steppers/scanners the AIMS system for 193 nm allows to emulate any type of reticles for 193 nm lithography. The overall system performance is demonstrated by AIMS measurements at 193 nm wavelength on binary chrome masks and phase shift masks. Especially for evaluation of 65 nm node lithography performance process window results will be discussed. An ArF excimer laser is in use for illumination. Therefore a beam homogenizer is needed to reduce the speckles in the laser beam and ensure a similar illumination uniformity as the longer wavelength systems, 248 nm and longer, using an arc source. A new beam homogenizing technique will be presented and illumination results compared to the current solution. The latest results on enhanced illumination uniformity exceed the current performance. A newly developed hybrid objective for high resolution imaging is tested for use of high resolution imaging in order to review defects and investigate repairs which do not print under stepper equivalent optical settings. An outlook will be given for extension of 193 nm aerial imaging down to the 45 nm node. Polarization effects will be discussed.
In modern mask manufacturing, a successful defect mitigation strategy has been become crucial to achieve defect free masks for high-end lithography. The basic steps of such a strategy include inspection, repair, and subsequent post-repair qualification of repair sites. For the latter task, actinic aerial image measurements have been proven to be the technique of choice to assess the printability of a repaired site. In the last three years, International SEMATECH in cooperation with Infineon/AMTC-Dresden and SELETE, funded a joint development project at Carl Zeiss to develop an AIMS tool operating at the 157nm wavelength. The three beta tools were shipped in 2003 to the three beta customer sites. In this paper are presented the first results obtained with these beta tools, including measurements on binary as well as alternating phase shift masks. The technical properties of the tool were discussed with regards to the capability of the tool for defect qualification on photomasks. Additionally, preliminary results of the evaluation of alternating phase shift masks will be discussed, including measurements performed on dense lines-and-spaces structures with various pitch sizes.
The Aerial Image Measurement System (AIMS) for 193 nm lithography emulation has been brought into operation successfully worldwide. By adjustment of illumination type, numerical aperture and partial coherence to match the conditions in 193 nm steppers or scanners, AIMS can emulate for any type of reticles like binary, OPC and phase shift. AIMS allows a rapid prediction of wafer printability of critical features, like dense patterns or contacts, defects or repairs on the masks without the need to do real wafer prints using the cost intensive lithography equipment. Therefore, AIMS is a mask quality verification standard for high-end masks established in mask shops worldwide. With smaller nodes, where design rules are below 100 nm and low k1 factors are used in the lithography process, the increasing printability of even smaller defects on reticles is becoming a serious problem. The evaluation of defect printability using AIMS becomes a significant aid and cost-saving technique to be applied directly in the wafer fab. The overall measurement capability of the 193 nm AIMS system will be demonstrated by measurements at 193 nm wavelength on attenuated phase shift masks. Excellent illumination uniformity is crucial for quantitative analysis of AIMS measurements such as CD variation or defect printability. To reduce disturbing speckle formation of the highly coherent ArF excimer laser a new beam homogenizing technique which contains motionless parts only will be presented as well as illumination homogeneity results compared to the current solution using a spinning scattering disk. The latest results on illumination performance exceed the current results especially with respect to illumination uniformity over the field. The improved performance will enable improved measurement capability down to the 65 nm node. An outlook will be given for extension of 193 nm aerial imaging down to the 45 nm node emulating immersion scanners.
The objective of this paper is to assess how variations of the chief ray angle of the illumination light incident on an EUV multilayer mask as well as the light bandwidth affect the performance of an AIMS EUV tool with respect to CD measurement and defect evaluation. To this end EUV images were simulated with an EUV lithography simulator developed by the Fraunhofer Institute IISB. The simulations were performed for a multilayer mask with a buried defect under an isolated line. The specifics of the AIMS EUV were taken into account by a superposition of aerial images obtained for different wavelengths. The presentation discusses the simulations and their results.
Carl Zeiss is currently in the final phase of an AIMS 157 development program in cooperation with Selete, Infineon and International SEMATECH. Based on Carl Zeiss' proven AIMS (Aerial Image Measurement System) technology, the new tool can optically emulate the aerial image generated in any given 157 nm scanner. Beta tools will be shipped throughout 2003. In this work the AIMS fab 157 hardware concept will be described. Latest measurements show that
compared to first measurements CD repeatability and illumination uniformity could be significantly improved.
The challenge to achieve an early introduction of 157 nm lithography requires various advanced metrology systems to evaluate the 65 nm node lithography performances, equipments and processes. Carl Zeiss AIMS tool based on the Aerial Image Measurement Software is the most promising approach to evaluate the mask quality in terms of aerial image properties, in order to assess post repair quality. Selete has joint activities with Carl Zeiss, International SEMATECH and Infineon to accelerate the development of an AIMS tool operating at the 157 nm wavelength. The alpha tool phase of the project has been completed, and beta tools are currently being built. This paper is discussing the results from measurements on the alpha tool of some 157 nm attenuated phase shift masks (Att-PSM). Resolution results and CD evaluation with respect to these measurements will be presented.
The first Aerial Image Measurement System (AIMSTM) for 157 nm lithography worldwide has been brought into operation successfully. Its performance will be demonstrated by AIMSTM measurements at 157 nm wavelength on binary chrome masks. Several through focus series have been measured in order to calculate the process windows for various structures with feature sizes at mask level of 300 nm and below. The latest results on enhanced illumination stability will be presented and a resolution that will enable an extension of the tool usage down to the 45 nm node. Using off-axis illumination 150 nm lines and spaces mask structures have been resolved.
The worldwide first Aerial Image Measurement System (AIMS) for 157 nm lithography has been used to measure binary chrome and attenuated phase shift masks at 157 nm wavelength. The AIMS measurements were done for line structures from 200 nm up to 400 nm and for 500 nm contacts. Through focus series have been conducted to calculate the process windows for various structures and feature sizes.
The undoped phases of the copper-oxide materials are antiferromagnetic insulators, with a gap of 1.5 - 2 eV. Infrared spectroscopy of these compounds reveals weak absorption, possibly of magnetic origin, in this gap. When the materials are doped, oscillator strength is removed from the charge transfer band. This oscillator strength moves to low frequency, to become midinfrared and free carrier absorption. A systematic study of the electron-doped Nd2- xCexCuO4-y system reveals that the growth of low-frequency oscillator strength with doping concentration x is twice as rapid as in the case of hole-doped materials, such as La2-xSrxCuO4. This behavior is in accord with electronic structure models based on the 3-band Hubbard model and inconsistent with one-band behavior. However, an anomaly occurs for samples which are doped to the critical concentration for superconductivity; these have a greater than expected free-carrier concentration and weaker charge-transfer bands.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.