An optical design of EUV attenuated PSM is proposed for contact-hole imaging. LCDU depends on MEEF as well as NILS. If co-optimization of MEEF and NILS is carried out, EUV PSM performs better when the PSM reflectance is higher. In order to make a high-reflectance PSM, the shifter materials should be as transparent as possible. Since the shifter’s thickness variation throughout the mask can cause phase and reflectance variation and thus global CD variation, its thickness should be set where phase and reflectance are least sensitive to such thickness variation. In short, the shifter’s thickness should be determined to maximize PSM performance while ensuring lithography process robustness. Applying PSM so designed leads to a dramatically lower dose-to-size while maintaining LCDU at the same level. Proposed PSM is manufacturable and effective in increasing throughput of EUV lithography.
Illumination source optimization is a very fundamental task in wafer lithography. By optimizing the incidence angles at the reticle, the combined diffraction behavior of mask and projection optics can be modified. One of the most critical parameter to control in EUV lithography is contrast at best and through focus as this drives the stochastic effects. In this work, we will look at the illumination source optimization for staggered CH and pillars for DRAM applications driven by fundamental considerations at diffraction level.
LCDU (Local Critical Dimension Uniformity) is one of the biggest challenges in EUV lithography as well as throughput. High contrast illumination, so called, leaf hexapole illumination is proposed for staggered contact-hole array pattern. Leaf hexapole illumination shows much better LCDU compared with traditional hexapole illumination which has been used in DUV lithography so far. Stochastic noise model[1] which was developed based on the particle nature of photon is updated to supplement a missing term. Model prediction is well matched with experimental results in wide range of wafer CD and mask CD. Further optimization of LCDU and/or dose-to-size can be predicted through mask CD optimization. By using illumination optimization and mask CD optimization technique, EUV single exposure process can be applied below D1z node or beyond.
Stochastic noise has strong impact on local variability such as LWR (Line Width Roughness), LCDU (Local Critical Dimension Uniformity) and LPE (Local Placement Error), and it is basically originated from the particle nature of photon. Statistical uncertainties of particles, same as the stochastic noises, can be analytically calculated by considering aerial image as a probability density function of photons. Contact-hole is the best pattern for counting its photon, so LCDU of contact-hole array is estimated and compared with experimental results. Among several possible statistical events from mask to resist pattern, three independent events of aerial image formation, photon absorption in resist, and chemical reaction including acid generation are considered to predict stochastic noise for both EUV (Extreme Ultra Violet) and ArF immersion lithography.
Experimental local CD uniformity (LCDU) of the dense contact-hole (CH) array pattern is statistically decomposed into stochastic noise, mask component, and metrology factor. Each component are compared quantitatively, and traced after etching to find how much improvement can be achieved by smoothing. Etch CDU gain factor is defined as the differential of etch CD by resist CD, and used to estimate etch CDU on resist CDU. Stochastic noise has influenced on not only LCDU but also local placement error (LPE) of each contact-hole. This LPE is also decomposed into its constituents in the same statistical way. As a result, stochastic noise is found to be the most dominant factor on LCDU and LPE. Etch LCDU is well expected by Etch Gain factor, but LPE seems to be kept same after etching. Fingerprints are derived from the repeating component and the boundary size for excluding proximity effect in analysis is investigated.
As the feature size decreases to 90nm and 65nm, the role of phase shift mask as a RET method becomes more and more important. Although alternating PSM has been one of the possible methods to improve the resolution, however, the difficulty of mask manufacturing prevent us from adopting the technology. One of the main issues is microloading effect including RIE lag, pattern density effect that cause especially the imbalance of phase shifting due to the etch depth difference in the patterns with different CD size and different pitch as the feature size downs to subhalf micron. This leads to the space CD difference with the DOF variation in the wafer image.
In this paper, characteristics of RIE lag and other phenomenon were evaluated with the DOE method that included such parameters: source power, bias power and pressure. Etch depth difference was confirmed with AFM measurement and calculated to phase shift angle. Results were analyzed with statistical method and major effects and interaction effects were found.
The move towards smaller feature size continuously requires more accurate lithography models. Part of models improvement comes from a better understanding of involved physics and chemistry. State of the art models assume development rate to be dependent on level of de-protection of resist film while development kinetics is not taken into account. Model refinements consist in getting a good model of development rate versus de-protection level. Recent studies have put in evidence the importance and the influence of development kinetics. Based on this, a new development process concept has been developed: the Proximity Gap Suction Development (PGSD). This paper presents a parallel approach to PGSD using megasonic agitation in order to improve development process understanding. Analysis has been performed by focusing on microloading effect characterization, also taking into account Critical Dimension (CD) linearity, CD iso-dense bias. Interpretation and analysis were achieved through use of DOE techniques. Results are then discussed with respect to previous PGSD studies but also to current development models. It is believed that improvement of development process could be also achieved in wafer making through the use of high flow rate development techniques such as PGSD or megasonic development.
Recently there has been significant interest in the using of chemically amplified (CA) resists for the mask making industry because of their high sensitivity, high contrast, and good dry etch resistance. Especially positive CA resists with high acceleration voltage E-beam systems are being become the main stream of making for advanced masks. However, the positive CA resists often make the issue of the CD uniformity degradation by the fogging effect at a high acceleration voltage (50keV) E-beam writing tool as writing for masks, which are having a high pattern density. In previous our paper, we have already confirmed that a positive CA resist shows the CD uniformity error more than 30nm by the fogging effect at the mask which is having above 40% pattern density, even if its CD uniformity error value is smaller than 50nm of ZEP. In this paper, we have described and studied for the performances of the negative CA resist at the 50keV e-beam writing tools in advanced mask making like logic device with high pattern density and we have compared with a positive CA resist at the 50keV e-beam writing tools. Furthermore, we have confirmed that the negative CA resist have an advantage
what is in advanced mask making process like logic device masks with high pattern density at 50keV e-beam writing tool and they have been compared with the positive CA resist.
Phase Shift Masks (PSMs) have been widely used in the photomask industry for nowadays. Among several types of PSMs, Alternating Aperture PSM (AAPSM) allows for better resolution within other advantages. This paper deals with micro-trench formation during quartz etching. Micro-trench can produce distortion of the light intensity and lead unwanted results on wafer. Several experiments are performed with respective etch conditions; fluorine (F) gas species, gas flow rates, bias powers, and substrate temperatures while other conditions are fixed. Quartz etching is processed with Inductively Coupled Plasma (ICP) system. Etched morphologies are observed by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), and a surface profiler to select the best condition as functions of etch parameters. Results show that bias power is the most important factors to decide quartz surface morphologies. Finally, mask image is simulated by AIMS system under given condition.
The main object of this paper is to investigate the root cause of CD change by neighboring field observed in KrF scanner (max. 0.70NA) and to measure the amount of stray light from neighboring field precisely. Line widths of gate pattern are measured at the isolated and surrounded field and the amount of CD change by neighboring field is found to be proportional to the clear ratio of mask. By exposing with special configuration, it is found that the line width is linearly decreased as the dose of neighboring field increases. From this linear dependency on doses of neighboring field, it is clear that non-negligible amount of light is scattered out into the adjacent field. The amount of this stray light level coming from neighboring field is obtained quantitatively by synthetic analysis of above result and double exposure to mimic background DC light by flare. About 1.2% of stray light from outside of the field is observed at the slit position close to the boundary of neighboring field. Disappearing pad test is also performed to measure the flare from exposure of field itself. Finally, it is obtained the distribution of total stray light - nominal flare plus flare from adjacent field - and it is found to be existed around 0.7% deviation of stray light across the slit.
In this paper, we will discuss the limitation of optical lithography with various resolution enhancement technologies. Lithography simulation was done by Hynix OPC Simulation Tool (HOST) based on Diffused Aerial Image Model (DAIM). The effects of numerical aperture (NA), wavelength, illumination conditions, mask and diffusion length of acid were simulated in view of resolution improvement. Diffusion length of acid is a dominant factor for resolution improvement for sub-100 nm era. As pattern size decreased, the limitation of optical lithography is more affected by diffusion length of acid. And other factors (NA, wavelength, illumination conditions and mask) will be discussed. Finally, ultimate the limitation of lithography will be discussed analytically.
We have evaluated 0.33k1 ArF lithography using 0.63NA scanner to develop 100 nm DRAM. ArF resist problems were resist pattern shrinkage during CD SEM measurement, resist pattern collapse during wet development and poor etch resistance. Off-Site Measurement (OSM) method has been developed for decreasing pattern shrinkage. With OSM method, 8nm of CD shrinkage was down to 2nm for 100nm L/S patterns. We have found a proper BARC material that prevents resist patterns falling down. Lack of etch resistance was compensated by hard mask. With W/SiN hard mask, acrylate- type resist patterns were transferred well into W/poly-Si gate patterns. We have simulated process window of critical DRAM cell patterns (isolation, gate, bit line contact, storage node) in the simple off-axis illumination (OAI) and optical proximity correction (OPC) conditions based on single exposure. Simulation results were verified by lithography tests and it turned out that 0.33k1 process was possible with exposure latitude of above 10% and focus latitude of more than 0.4 micrometers . 0.33k1 ArF lithography was successfully implemented into 100 nm DRAM with CD uniformity of 10nm (3 (sigma) ) and overlay accuracy of 30 nm (mean +3 (sigma) ). We have also evaluated double exposure technique using dipole illumination targeting 90 nm in order to see the possibility of 0.29k1 process. 0.29k1 process was also likely to be possible, although some specific improvements were recommended for the wider process window. From the simulation and resist patterning results, we believe that 0.85 NA lens will be able to extend ArF lithography into 75 nm by single exposure technology using crosspole illumination (0.33k1 process) and 65 nm by double exposure technology using dipole and crosspole illumination (0.29k1 process).
As the pattern size becomes smaller, double or multi exposure is required unless the epochal solutions for overcoming the limits of present lithography system do appear or are discovered. ArF DET (double exposure technology) strategy based on manual OPC with in-house simulation tool, HOST (Hynix OPC simulation tool), is suggested as a possible exposure method to extend the limitation of current lithography. HOST requires no additional procedures and separate layout optimizations of each region in terms of OPC are enough. Furthermore, it is possible to change illumination condition of each region and the overlap between two regions with ease. The results from the simulation are pattern size and profile of each condition according to the defous and misregistration. 0.63 NA ArF Scanner and Clariant resist is used for wafer process. The resist was coated on Clariant organic BARC using 0.24 um thickness. Dipole illumination for cell region and annular illumination for peripheral region are used. Cell region contains 0.20 um pitch duty pattern and peripheral region 0.24 um pitch duty pattern. The boundary of two regions is investigated in view of validity of stitching itself. The layout of reticles used as the cell and peripheral region are optimized by OPC, respectively and then, additional OPC was treated to the boundary, i.e., stitching area to compensate the cross term of the boundary caused by separate and independent optimization with OPC in the cell and the peripheral regime. The final patterns were acquired by defining the cell at first and the peripheral region secondly with different defocus and registration in respect to the cell. The actual data on wafer are presented according to defocus and one region's overlay offset relatively to the other region. And the outstanding matching between simulation results and in-line data are shown. Lithography process window for stable patterning is thoroughly investigated in view of depth of focus, energy latitude, registration between two stitched regions and stitching itself in the boundary. It is found from the experiment that total DOF of DE (double exposure) is 0.5 um and the total EL of DE is 10.0% in this paper. At present, it is very difficult to ensure stable process margin for the sub-0.10 um patterning. But there is a promising technology called stitching with special optimization. In addition, this technology will be nominated as an eternal candidate process whenever our lithography is in the adversity at the limits of his days.
With smaller features sizes and higher pattern densities on high-end mask for DUV lithography, pattern fidelity on mask features becomes essential for optical proximity correction (OPC) performance. But some degree of corner rounding on the mask is inevitable even using the latest writing tool. The corner rounding radius on mask is mainly determined by the resolution of writing tool, mask resist process and chrome etching process following. In this paper, we will first discuss corner rounding impact for two-dimensional pattern applied OPC. Secondly modeling mask patterning process by applying diffused aerial image model (DAIM). Thirdly we will compare mask simulation results and mask SEM image for various mask masking process. Finally, we will examine a new simulation method to enhance the accuracy of wafer patterning simulation by using not CAD layout but mask layout extracted from mask patterning simulation.
This paper describes the feasibility of lOOnm-node lithography using ArF lithography and att-PSM (aUenuated Phase Shift Mask). In the simulation approach, we can find that att-PSM can improve EL window more than 25%compared to BIM (Binary Intensity Mask) in both KrF and ArF lithography. Although the MEF (Mask Error Factor) values of att-PSM and BIM are almost same even in a higher NA region, the total CD variation of aU-PSM is slightly lower than that of BIM because of the increase effect of EL window. Considering the total CD variation, it is necessary to use the ArF lithography machine with higher NA of more than O.7ONA for lOOnm patterning. In the real patterning performance, the ArF lithography and att-PSM can improve EL windows more than 60% in comparison with KrF lithography and att-PSM for sub-l2Onm cell patterns. The case of att-PSM and annular aperture condition, especially small ring width annular condition shows the increasing effect ofprocess windows compared to BIM for lOOnm L/S patterns. For the direct C/H printing below l2Onm feature, we can get about 9% EL window in the case of l2Onm C/H feature. Although we have some technical issues for lOOnm lithography such as the controllability of MEF and EL window extension, the lens quality enhancement for the higher NA and manufacturing defects of att-PSM, etc., there is a sufficient feasibility to obtain lOOnm-node pattern with ArF lithography and att-PSM.
Recently, the miniaturization of the design rule pushes the pattern sizes in the peripheral region as well as cell region to the resolution limit of exposure tools. Therefore it is necessary to apply optical proximity correction (OPC) not only to the patterns in cell region but also to those in peripheral region. It is impossible to apply manual OPC method in peripheral region. Because the peripheral region is composed of random patterns with large data volume, and it takes too long execution time with manual OPC. For random pattern OPC in peripheral region, automatic OPC tool is required. Now for the automatic OPC tool, model-based and rule-based methods are developed for the commercial use. In this paper, the effectively applicable process is discussed using model-based method in automatic OPC at the sub-0.10 micrometer design rule in ArF lithography. For the application of automatic OPC tool at the design rule of sub-0.10 micrometer and ArF process in memory devices the following problem should be cleared. In small size of design rule, we should consider not only pattern fidelity but also process margin such as depth of focus (DOF) and exposure latitude (EL) at the cell OPC. But automatic OPC tool is insufficient to be applied for cell region OPC, because it considers not process margin but pattern fidelity and it has low accuracy using much approximation model to reduce layout correction time. To solve this problem, we suggest a full chip OPC process using both automatic OPC tool and the manual OPC method using the novel lithography simulation model (Diffused Aerial Image Model, DAIM). DAIM is available to predict wafer pattern and process margin of cell, its accuracy is verified in ArF process as in KrF process. We could see small standard deviation error between experiment and DAIM in ArF process using various line or space patterns, which is about 9 nm at binary intensity mask (BIM). So the manual OPC with DAIM resulted in the wide process margin and good pattern fidelity overcoming the limitation of automatic OPC tool. However it is necessary to correlate energy level of DAIM for cell region OPC with that of the model in the automatic OPC tool for peripheral region OPC, because cell and peripheral region are exposed with the same exposure dose in stepper or scanner. In case of ArF process, we could see the small difference of energy level and standard deviation error, which is about 1.4%, 2 nm at BIM and 6.3%, 3 nm at half-tone phase shift mask (PSM), between DAIM and automatic OPC tool. As the result of using DAIM and automatic OPC tool simultaneously at full chip OPC, we could see improved results from cell to peripheral region at the sub-0.10 micrometer design rule in ArF lithography.
This paper describes the effect of the mask errors such as mask critical dimension (CD) variation, phase and transmission error of attenuated phase shifting mask (att-PSM) on wafer CD in ArF lithography and also analyzes these errors quantitatively. Mask CD requirement using ELF and MEF is estimated firstly and mask CD should be controlled within about 7nm assuming O.7ONA ArF system with 1% illumination uniformity. Transmission error induces larger CD variation than phase error. However, phase error should be considered otherwise in that it reduces depth of focus (DOF). To control DOF degradation less than 10% in case of O.l4um and O.l6um isolated contact hole(C/H), the phase should be controlled within the range of Considering O.l4um isolated contact hole, transmission error of occupies 10% of CD tolerance. Finally, the budget of these factors are calculated in view of total wafer CD variation quantitatively except lens aberration, resist process, and etc. To reduce wafer CD variation, we should control mask CD more tightly.
The patterning potentialities of sub-100nm pattern for ArF lithography was evaluated with conventional alternating PSM (alt-PSM) for dense lines and spaces (L/S) and phase edge PSM (PE-PSM) for isolated lines of memory device. In dense L/S pattern,110nm pattern was defined with relatively small depth of focus(DOF) window(~ 0.2 ?m) due to phase error of mask. As pattern sizes was changed from 130nm to 200nm, critical dimension (CD) difference between two neighboring spaces was varied and it was assumed that micro loading effect was occurred in Qz etching. The linearity was guaranteed to dense L/S of 110nm and isolated line of 90nm, and Iso-Dense bias was controlled within 15nm. The 60nm and 70nm isolated lines of PE-PSM ware defined with good process windows in the case of OA_X size(X-direction size of Cr open area) of 0.5 ?m. The 55nm isolated line was also defined. The pattern shift of isolated lines was occurred with 4~7nm as phase of mask was varies within 190 ~ 200 ° . Though the alt-PSM with high numerical aperture (NA) for ArF lithography was strong candidates for sub-1 OOnm lithography of memory device, the issues of mask fabrication such as tighter phase control and minimizing etch loading effect would be big obstacles. On the contrary, there were many possibilities of sub-100nm patterning in PE-PSM with good process windows, however tighter control of pattern shift due to phase error must be studied intensively.
Optical lithography at resolution limit is a non-linear pattern transfer. One of the important issue is a mask critical dimension control because of nonlinear amplification of mask critical dimension error during image transferring on wafer. This amplification of mask error is called the MEF. This mask error factor has been widely used as an important parameter for indicating tighter CD control for the photomask for low-kl lithography generation.
Ultimate limitation of lithography has been studied by using the diffused aerial image model (DAIM). Assuming that only the 0th and 1st order diffraction beams in the off-axis illumination technique contribute to the resist patterns, aerial image is calculated for dense line and space patterns. And then DAIM is applied to achieve final image. By using this diffused aerial image, exposure latitude and mask error effect can be analyzed quantitatively. In the case of perfect image, which can be achieved from, for example, diffraction free x-ray lithography or electron beam lithography without Coulomb repulsion and back scattering effect, same approaches are possible to get the exposure latitude and mask error effect. Under the validation of DAIM, most important parameter, which characterizes dense L/S patterns, is the diffusion length of acid. In order to realize sub-o.1 micrometers pattern with enough process margins, it is required to enlarge exposure latitude and to reduce mask error effect. Therefore, reducing h acid diffusion length of chemical amplification resist (CAR) or new conceptual resist instead of CAR will be needed for sub-0.1 micrometers era.
Recently, the miniaturization of the design rule of memory devices pushes the minimum feature sizes down to sub- wavelengths of the exposure tools. The design of a memory device comprises not only the dense patterns with critical small size in the cell region but also the random patterns in the peripheral region; the latter also need sub- wavelength lithography technology as well as the former. And the optical proximity correction (OPC) has been strongly required for the random patterns in the peripheral region where the same energy is exposed as in the cell region. Therefore, the high accuracy of simulation model used in the OPC is necessary for the full chip OPC tools. However traditional aerial image simulation has a limitation to the application due to its lack of accuracy because it does not take into account a resist process. We introduced novel lithography simulation model in 1998, which describes resist process by diffusion and chemically amplification function.
The defect control of the attenuated-PSM is compared with that of the conventional binary intensity mask (BIM), because the fabrication process for the att-PSM tends to generate more defects than that of the BIM. To repair a defective att. PSM, a similar method used for BIM has been applied. However, this process may cause degradation of pattern fidelity with the repair pattern on the mask are transferred on the wafer, if the transmission and phase of repaired area are not well controlled. In this paper, we have investigated the effect of repairing process on the pattern fidelity to define contact holes using a KrF lithography with an att. PSM. The defects in the various distances form contact hole patterns and of various sizes were repaired. The experimental printability and simulation data from an aerial image model were compared for repaired defects. And the repair tool reliability and the simulation accuracy of the att. PSM was examined using CD-SEM. From the experimental results, repaired defects having larger size than the threshold. One or within a certain range from the pattern induced the pattern deformation. Therefore, the size of defect and the distance between the pattern and defect should be considered in repair process for the Att. PSM fabrication. Based on the experimental and simulation results, the requirements for the repair tool will be proposed.
With KrF and off-axis illumination (OAI) technique we should set up 150nm lithography process without using phase shift mask. But isolated-dense bias (ID bias) makes 150nm lithography process difficult. We investigated ID bias trend at different OAI condition and found that it could be reduced by optimizing OAI condition. We represent OAI as quadrupole center (sigma) R and pole size radius r. With high NA, small R and small r we can reduce ID bias but cannot eliminate completely at 150nm lithography. Also we found out that ID bias of duty patterns are more severe than that of dense and isolated patterns. Using OAI at a certain space width between lines, the width of lien has its minimum. This line thinning phenomena at this weak zone depends on OAI condition such as NA, R and coherence value. We compared simulation data with experimental result and could see the same phenomena at simulation data. Therefore OPC is necessary to avoid this weak zone. By experiment and simulation with NA higher than 0.65 and Optical Proximity Correction, we could set up 150nm lithography process with below 0.20micrometers periphery pattern design rule.
Optical proximity correction technique has been studied. The occurrence of proximity effect in the optical lithography is composed of an element caused by diffraction of light, which can be explained by aerial image simulation, and an element caused by resist process, in which acid diffusion is a major factor causing non-linearity. In the case of very thin resist, absorbed energy that generates the acid can be described by two-dimensional instead of three-dimensional distribution. Under this simple assumption, acid diffusion by post exposure bake is equivalent to the diffusion of aerial image, and chemical amplification can be analytically described also. Modified aerial image including diffusion and chemical amplification, we call it diffused aerial image, can give the information for patterning status directly. Therefore, diffused aerial image model can explain experimental results very well compared to the expectation by using aerial image only without loss of simplicity and calculation speed.
In order to develop 1 G bit DRAM of 0.18 micrometers design rule, it is required to generate 0.2 micrometers contact hole patterns with local DOF over 1.0 micrometers . One of good candidates is DUV attenuated phase shift mask (PSM), which improves the lithographic process margin such as depth of focus (DOF), especially in contact hole patterns due to edge enhancement effect. In the case of DUV attenuated PSM, the optimum condition for contact hole patterns near 0.2 micrometers has been investigated by simulations and experiments using chromium- based attenuated PSM with the transmittance of 6% at 248 nm wavelength. We obtained local DOF of 1.2 micrometers for 0.2 micrometers contact hole of 1 G bit DRAM with printing bias of -0.046 micrometers using KrF laser system (0.31 (sigma) , 0.55 NA). We evaluated the characteristics of contact hole with various duty ratios and defect printability using programmed defects.
An alternating phase shift mask is very effective to memory devices which have highly repeated patterns. In order to apply the alternating phase shift mask to real device, we have investigated the design problems such as proximity effect, phase contradiction, phase transition, and linewidth variation. We also design various hard defects in order to check defect printability on wafer. Using i-line lithography with an alternating phase shift mask, we obtain useful focus latitude of 1.2micrometers for bit line of 256M DRAM. Deep UV alternating phase shift mask is used for isolation patterns with design rule of 0.16micrometers . The experimental and simulation results for phase-induced problems and defect printability on wafer are described in detail.
Alternating phase shift mask (PSM) is very effective to memory devices which have highly repeated patterns. In order to apply the alternating PSM to a real device, we have investigated the design problems such as proximity effect, phase contradiction, phase transition, and linewidth variation. We also designed various hard defects to check defect printability on a wafer. Using i-line lithography (0.50 NA, 0.46 sigma) with alternating PSM, we obtained a useful DOF of 1.2 micrometer for a bit line of 256 M DRAM. The experimental and simulation results for phase-induced problems and defect printability on wafer are described in detail.
The global proximity effects of densed line, semi-isolated line are studied for conventional illumination, off-axis illumination, and finally off-axis illumination in combination with attenuated phase shift masks which have transmittance of 4% and 8%, respectively, by experiments and simulations. To analyze the behavior of proximity effects, the lithographic performances of the super resolution technique are investigated comparing the cross-sectional view of resist pattern profile, useful depth of focus, and the curves of linewidth vs. defocus for 0.30 micrometers , 0.35 micrometers , and 0.40 micrometers pattern size, respectively. The global proximity effect is quantitatively analyzed by fitting the curve for densed line and isolated line to 2nd order polynomials. Off- axis illumination with attenuated phase shift mask is very effective to minimize the proximity effects for the pattern size less than 0.40 micrometers , and have useful depth of focus of 1.0 micrometers for 0.30 micrometers patterns.
An attenuated phase shift mask (PSM) is the most promising candidate for the high volume production lithography process among the various PSM types. It has been shown that attenuated PSM improves the lithographic performance such as depth of focus, especially in contact window by its edge enhancement. In this paper, the side lobe effect that restricts the lithographic performance of attenuated PSM and the light intensity distribution have been examined on changing the pattern density and the transmittance by experimental and simulation. The side lobe effect caused by proximity effect is very severe when pitch sizes are in the range of 0.7-0.9 micrometers for 0.35-0.45 micrometers contact hole on mask and it is enlarged by defocus exposure condition. The side lobe effect in this range of pitch size may forms the additional pattern in wafer, which restricts the application of attenuated PSM. The side lobe effect can be removed by additional pattern positioning at the center of four contact hole patterns, but simulation result of Exposure-Defocus tree (E-D tree) shows that lithographic performance of attenuated PSM is decreased by an auxiliary pattern. In the application of attenuated PSM in dense pattern, the relation between performance and side lobe effect is mutually contradictory.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.