High numerical aperture (NA) EUV lithography is considered as the most-promising candidate for next generation lithography protocol that will play a crucial role in meeting the demand on the enhanced semiconductor performance and productivity. While this technology enables the fabrication of sub-10 nm patterns, the increased NA has posed challenges, such as reduced depth of focus and narrower process margins. To overcome these hurdles, it is essential to apply thinner resist films while ensuring that the resulting small patterns maintain sufficient physical and chemical durability. Moreover, considering that thinner films absorb fewer photons, the resist molecular structure should be designed to compensate sensitivity burdens. Here, an approach has been proposed to enhance sensitivity by accelerating the solubility change of a well-known tinbased nanocluster resist. To accomplish this goal, it is important to increase not only the number of secondary electrons but also reaction sites and promote radical-based chemical reactions. We aimed at experimentally validating this concept by utilizing elements with high EUV absorbance and highly reactive functional groups with tin radicals. As a model resist, we chose a tin-oxo cage material consisting of a divalent cation containing 12 tin atoms and two counter anions. Our findings indicate that the introduction of unsaturated groups capable of building bridging bonds with radicals leads to fast solubility change at a lower exposure dose, thus enhancing sensitivity. This research offers a promising direction for the development of resists tailored for High NA EUV lithography.
In this study, we present a method to enhance the sensitivity of fluorinated EUV resists by leveraging the rapid coupling reaction between electrophilic carbon radicals and electron-rich alkenes. To validate the impact of the vinyl groups, DVS-HNF was synthesized by introducing fluorinated alkyl chains and silyl vinyl functional groups into the model compound. Additionally, TMS-HNF and EDMS-HNF, which do not contain a vinyl moiety, were synthesized for comparison. To evaluate the patterning properties of the three materials, e-beam lithography experiments were conducted, resulting in the formation of negative-tone patterns for all three materials. Comparing sensitivities, DVS-HNF with two vinyl moieties exhibited the most excellent sensitivity, confirming the effective collaboration between fluorinated alkyl radicals and vinyl groups. After performing an EUV lithography experiment on DVS-HNF, it was confirmed that a 30 nm negative-tone pattern was successfully formed with excellent sensitivity. These results substantiate the potential of the single-component molecular resist for high performance EUV lithography.
Extreme UV (EUV) lithography is entering full-scale production of high-end IC chips. This transition gives researchers in academia and industry ample motivation to propose new chemistries that will contribute to alleviating the resolution-line edge roughness-sensitivity trade-off dilemma of EUV lithography. We also have a great interest in the radical chemistry of carbon-fluorine bonds working under EUV and have explored its applicability as a platform for implementing novel EUV resists. While it was checked that the chemical concept is viable by using fluorinated small molecules and polymers, it needed to be upgraded in terms of patterning resolution and sensitivity. Recently, we extended successfully the radical-based strategy to the tin-oxo nano cluster resist concept. Soluble fluorinated tin-oxo clusters could be prepared, and they were cast into thin films from a fluorous solution. When the thin film was exposed to EUV radiation, it lost solubility, resulting in the formation of negative-tone images. Under an EUV lithographic condition, the thin film could be tailored down to 10 nm or smaller sized features. In addition, their unique solubility in chemically orthogonal solvents also enabled the build-up of a bilayer structure composed of a non-fluorinated reactive polymer underlayer without curing. The stacked film structure was found to be helpful for the sensitivity improvement. These results propose another interesting EUV resist candidate possessing unique capabilities in thin film processing.
The trade-off between resist sensitivity and local critical dimension uniformity (LCDU) of contact hole (C/H) patterning is one of the most challenging issues facing cost effective high-numerical aperture (NA) high volume manufacturing. The focus margin at 0.55 NA is only 36% of that at 0.33 NA, which raises significant concerns about defocus-induced LCDU degradation. A chemically amplified resist (CAR_B) which resolved 24 nm pitch showed zero useful depth of focus (DOF) when considering LCDU specification for 32-nm pitch contact holes. If we relax the LCDU target to 18% of half pitch (or 2.88 nm), a useful DOF of 30 nm was obtained with CAR_B at 32 nm pitch contact hole. If we relax the LCDU target to 20% of half pitch (or 2.8 nm), a useful DOF of less than 10 nm was achieved with CAR_A for 28-nm pitch contact hole. Non-CAR positive-tone resist (PTR) was worse than CAR PTR in terms of LCDU at 32-nm pitch and 28-nm pitch dense contact holes. Non-CAR negativetone resist (NTR) has a demerit of ~8% lower NILS caused by usage of clear-tone mask. For sub 30-nm pitch dense contact hole patterning, it is highly recommended to develop positive-tone non-CAR material and to develop new additional processes that improve LCDU for high-NA implementation.
In this study, a molecular resist capable of high-resolution patterning with excellent sensitivity was pursued by introducing a metal atom into a small molecular fluorinated core. After selecting the phthalocyanine (Pc) unit as a molecular framework, exhibiting excellent chemical stability and etch resistance, fluorinated alkyl and aryl moieties and zinc atoms were incorporated into the framework to complete the synthesis of Pc-based EUV resists. Analyses of the recovered compounds were performed by nuclear magnetic resonance (NMR), Fourier transform infrared spectroscopy (FT IR), and ultraviolet–visible (UV-vis) spectroscopy to confirm that the structures of the desired materials were secured properly. Through the electron-beam lithographic experiments, it was verified that all the thin films composed of the three Pc materials lost their solubility by interacting with high-energy electrons to form negative-tone images. When EUV lithography was carried out on ZnPc-A, which has linear perfluoroalkyl moieties, 40 nm-sized patterns were formed at the relatively small energy of 34 mJ/cm2. From the synthesis and lithographic characterization results, it can be assumed that fluorinated metallophthalocyanine materials can be considered EUV resist candidates. However, for highresolution patterning, it is necessary to maintain an appropriate film thickness and adjust the processing parameters, including the adhesion to the substrate.
Chemically amplified resist (CAR) system is being widely used not only for 248 nm and 193 nm lithography but
for Extreme Ultra Violet Lithography (EUVL).[1] And CAR system is based on blend resist platform which is
formulated with polymer and photo-acid generator (PAG) independently. In EUVL to aim at 22 nm node and
beyond, EUV resists are required to achieve much higher acid generation efficiency and overcome RLS (Resolution,
Line edge roughness, Sensitivity) trade-off using some ideas such as increase in PAG concentration and film
absorption coefficient, suppression of acid diffusion length and so on.[2-6] Increase in PAG loading ratio is a
promising strategy to improve EUV resist performance,[7-10] however there must be upper limitation of PAG
loading ratio on blend resist platform due to lowering film Tg induced by a plasticization effect of blended PAG.
This plasticization effect of blended PAG would have another impact to increase acid diffusion length, resulting in
low resolution and significant thickness loss, especially on ultra thin film condition. On the other hand, utilizing
the PAG having bulky cation structure was beneficial in order to maintain dark loss (in other word, top loss) of the
patterned features, however, this type of cation would show low quantum yield driven by the substituent on a cation
structure, so that total performance such as ultimate resolution deteriorated. From these results, the bound resist
platform which has PAG unit on polymer backbone as branch would be promising platform because of its potential
advantages such as suppression of dark loss, no plasticization effect and control of acid diffusion.
EUV lithography is one of the most promising technologies for the fabrication of beyond 30nm HP generation devices.
However, it is well-known that EUV lithography still has significant challenges. A great concern is the change of resist
material for EUV resist process. EUV resist material formulations will likely change from conventional-type materials.
As a result, substrate dependency needs to be understood.
TEL has reported that the simulation combined with experiments is a good way to confirm the substrate dependency. In
this work the application of HMDS treatment and SiON introduction, as an underlayer, are studied to cause a footing of
resist profile. Then, we applied this simulation technique to Samsung EUV process. We will report the benefit of this
simulation work and effect of underlayer application.
Regarding the etching process, underlayer film introduction could have significant issues because the film that should be
etched off increases. For that purpose, thinner films are better for etching. In general, thinner films may have some
coating defects. We will report the coating coverage performance and defectivity of ultra thin film coating.
Extreme ultraviolet lithography (EUVL) is the most effective way to print sub-30 nm features. The roughness of both the
resist sidewall (line width roughness [LWR]) and resist top must be overcome soon for EUVL to be implemented.
Currently, LWR can vary by about 1 nm according to the recipe used. We have characterized two promising techniques
to improve LWR, an EUV rinse/TBAH process and an implant process, and demonstrated their efficacy. After cleaning
inspection (ACI), LWR was improved with both the rinse and implant processes. After development inspection (ADI),
LWR improved (0.12 nm, 2.4%) and ACI LWR improved (0.1 nm, 2.0% improvement) after using the EUV rinse
process. ADI and ACI LWR improvement (0.45 nm, 9.1%, and 0.3 nm, 6.9%, respectively) was demonstrated with the
EUV rinse/TBAH process. ADI LWR improvement (0.5 nm, 8.1%) and ACI LWR improvement (-0.5 nm, -16.9%) were
characterized with the implant process. Critical dimension (CD) showed similar changes through pitch after the EUV
rinse or TBAH process, but the degree of change depended on the initial pattern size giving CD difference of 2 nm
between 30 nm HP and 50 nm HP after the implant process. For this technique, the dependence of CD change on pattern
size must be minimized. Further extensive studies with rinse or implant are strongly encouraged for continued LWR
improvement and real process implementation in EUVL. Demonstrating <2.2 nm LWR after pattern transfer is important
in EUVL and needs to be pursued using various technical approaches.
Initial resist LWR is important in assessing LWR improvements with additional process techniques. An initial EUV
LWR < ~5.0 nm is required to properly assess the validity of the technique. Further study is required to improve ADI
LWR and maintain better LWR after etch with advanced EUV rinse materials. Defects also need to be confirmed
following the EUV rinse and TBAH developer. Further developing the implant process should focus on LWR
improvement at low frequencies and optimization of process conditions to maintain the EUV resist profile and resist
height. The dependence of CD change on pattern size likewise needs to be minimized.
Extreme ultra violet (EUV) resists have been developed to be able to print sub-30nm L/S features with EUV alpha
DEMO tool (ADT) having 0.25NA. However, a lithographic performance of EUV resist is not comparable to that of
DUV resist. At same process constant (k1), the imaging capability of EUV resist is poor than that of DUV resists. The
most critical issues are line width roughness (LWR) and critical dimension (CD) variation across a field. Although there
are many studies to improve the LWR of EUV resist, the issue on CD variation across a field is not much explored,
because the problem can be detected at full field exposure. In this paper, sources of the CD variation across a field are
mainly investigated, and solutions to improve the CD uniformity are explored. Out of band (OOB) radiation and its
reflectivity at REticle MAsking (REMA) unit of scanner or absorber of mask is regarded as one of the sources which
aggravates imaging quality of EUV resist. In addition, the optical density of black border at EUV wavelength is also
known to have an impact on this CD variation. Although the exact spectrum of OOB radiation is not open, LASER
produced plasma (LPP) type source and discharge produced plasma (DPP) type source are believed to have the OOB
radiation. Therefore, to improve pattern fidelity and LWR of EUV resist, the mitigation of OOB radiation impact is
required. It is found that the resist sensitivity to DUV compared to EUV is important, and this property affects on CD
uniformity. Furthermore, new material which can mitigate the OOB radiation impact is developed. This material is
applied as an additional layer on conventional EUV resist film, and shows no intermixing. Process window is not
changed by applying this layer. The filtering ability of OOB radiation is explored. LWR and pattern fidelity are much
improved by applying this material to EUV process.
Microfield exposure tools (METs) play a crucial role in the development of extreme ultraviolet (EUV) resists and masks.
One of these tools is the SEMATECH Berkeley 0.3 numerical aperture (NA) MET. Using conventional illumination this
tool is limited to approximately 22-nm half pitch resolution. However, resolution enhancement techniques have been
used to push the patterning capabilities of this tool to half pitches of 18 nm and below. This resolution was achieved in a
new imageable hardmask which also supports contact printing down to 22 nm with conventional illumination. Along
with resolution, line-edge roughness is another crucial hurdle facing EUV resists. Much of the resist LER, however, can
be attributed to the mask. We have shown that intenssionally aggressive mask cleaning on an older generation mask
causes correlated LER in photoresist to increase from 3.4 nm to 4.0 nm. We have also shown that new generation EUV
masks (100 pm of substrate roughness) can achieve correlated LER values of 1.1 nm, a 3× improvement over the
correlated LER of older generation EUV masks (230 pm of substrate roughness). Finally, a 0.5-NA MET has been
proposed that will address the needs of EUV development at the 16-nm node and beyond. The tool will support an
ultimate resolution of 8 nm half-pitch and generalized printing using conventional illumination down to 12 nm half pitch.
Printability and inspectability of phase defects in EUVL mask originated from substrate pit were investigated. For
this purpose, PDMs with programmed pits on substrate were fabricated using different ML sources from several
suppliers. Simulations with 32-nm HP L/S show that substrate pits with below ~20 nm in depth would not be printed on
the wafer if they could be smoothed by ML process down to ~1 nm in depth on ML surface. Through the investigation of
inspectability for programmed pits, minimum pit sizes detected by KLA6xx, AIT, and M7360 depend on ML smoothing
performance. Furthermore, printability results for pit defects also correlate with smoothed pit sizes. AIT results for
patterned mask with 32-nm HP L/S represents that minimum printable size of pits could be ~28.3 nm of SEVD. In
addition, printability of pits became more printable as defocus moves to (-) directions. Consequently, printability of
phase defects strongly depends on their locations with respect to those of absorber patterns. This indicates that defect
compensation by pattern shift could be a key technique to realize zero printable phase defects in EUVL masks.
Thinner absorber structure in EUVL mask is supposed to be applied in 2x HP node since it shows several
advantages including H-V bias reduction. Here, lithographic performances of EUVL masks as a function of absorber
stack height are investigated using ADT exposure experiments. Wafer SEM images show that minimum resolution is
almost identical at ~27.5 nm with absorber thickness ranging from 45 to 70 nm. Simulations also exhibit that NILS and
contrast become maximized and saturated in those ranges. However, thinner absorber structure using 50-nm-thick
absorber shows much lower H-V bias than conventional structure using 70-nm-thick absorber. MEEF, EL, DOF, and
LWR are also slightly improved with thinner absorber. One of the noticeable issues in thin absorber is low OD which
results in pattern damages and CD reduction at shot edges due to light leakage from the neighboring exposures. To
overcome these issues, appropriate light shielding process during mask fabrication as well as minimizing OoB radiation
in EUVL scanner are required. Another item to prepare for 2x HP node is to increase defect detection sensitivity with
19x nm inspection tools. Thus, absorber stacks with new ARC layer optimized for 19x nm inspection should be
developed and applied in EUVL mask blanks.
Extreme ultraviolet lithography (EUVL) is the most effective way to print sub-30 nm features. We characterized EUVL
readiness of the three major resist platforms for sub-30 nm half-pitch (HP) manufacturability using a full-field ASML
alpha demo tool (ADT) scanner and studied the extendibility of EUV chemically amplified resist (CAR). Based on an
"M-factor" analysis, which shows the maturity of EUV resist for 28 nm HP manufacturability, a polymer-bound
photoacid generator (PAG) resist was 78% ready, a PHS hybrid resist was 81%, and a molecular glass EUV resist was
58%. The polymer-bound resist showed good resolution for 25 nm HP using the ASML ADT. It also demonstrated fair
linewidth roughness (LWR) and a good lithographic process margin of 18% exposure latitude (EL) and 160 nm depth of
field (DOF) for 28 nm HP patterning compared with the other resist platforms, but its resist collapse and etch resistance
need to be improved for manufacturability. PHS hybrid resist showed a fair etch resistance and resist collapse
performance compared to the other resist platforms, but LWR needs to be improved. The molecular resist needs to
mature further, especially in resist collapse and iso-dense (ID) bias. When considering its many strong points and control
of lower acid diffusion, the polymer-bound PAG resist appears to be the most suitable platform for manufacturability and
EUV CAR extension. We therefore would like to encourage the development of next generation polymer-bound PAG
resist with a higher etch resistance.
A process window of 80 nm DOF was demonstrated for 26 nm HP patterning and a measurable DOF for 25 nm HP was
achieved with the polymer-bound PAG resist. Resist collapse and LWR are major issues for 22 nm HP patterning in
manufacturing. LWR improvements were achieved with various techniques, and resist collapse was greatly improved
with a novel approach that uses a residual resist layer. 16 nm HP line/space (L/S) image modulation and 18 nm HP
resolution were demonstrated with an EUV CAR, indicating that EUV CAR could be extended to sub-20 nm HP
patterning.
Extreme ultraviolet lithography (EUVL) is one of the leading candidates for next-generation lithography technology for
the 32 nm half-pitch node and beyond. We have evaluated the Alpha Demo Tool(ADT) characterizing for mixed-andmatched
overlay(MMO), flare noise, and resolution limit. For process integration, one of the important things in EUVL
is overlay capability. We performed an overlay matching test of a 1.35NA and 193 immersion tool using a low thermal
expansion material(LTEM) mask. We also investigated the flare level of the EUV ADT for device applications. The
current EUV tool has a higher flare level than ArF lithography tools. We applied a contact layer for 40nm node device
integration to reduce the variation in critical dimension(CD) from the flare noise.
Extreme ultraviolet lithography (EUVL) is the most effective way to print sub-32 nm features. We have assessed EUVL
resist readiness for 32 nm half-pitch (HP) manufacturing, presenting process feasibility data such as resolution, depth of
focus (DOF), line edge roughness/line width roughness (LER/LWR), mask error enhancement factor (MEEF), resist
collapse, critical dimension (CD) uniformity, post-exposure delay (PED) stability, and post-exposure bake (PEB)
sensitivity. Using the alpha demo tool (ADT), a full field ASML EUV scanner, we demonstrate the feasibility of a k1
~0.593 resist process for 32 nm HP line/space (L/S) patterning. Exposure latitude (EL) was 13% at best focus, and DOF
was 160 nm at best dose using a 60 nm thick resist. By incorporating a spin-on underlayer, the process margin could be
improved to 18.5% EL and 200 nm DOF. We also demonstrate ADT extendibility using a state-of-the-art EUV
platform. A k1 ~0.556 resist process was demonstrated for 30 nm HP L/S patterns, providing a 13% EL, 160 nm DOF,
and a common process window with isolated lines. 28 nm HP patterning for a k1 ~0.528 resist process could be feasible
using a more advanced resist with improved DOF and resist collapse margin.
Microfield exposure tools (METs) continue to play a dominant role in the development of extreme ultraviolet (EUV)
resists. One of these tools is the SEMATECH Berkeley 0.3-NA MET operating as a SEMATECH resist and mask test
center. Here we present an update summarizing the latest resist test and characterization results. The relatively small
numerical aperture and limited illumination settings expected from 1st generation EUV production tools make resist
resolution a critical issue even at the 32-nm node. In this presentation, sub 22 nm half pitch imaging results of EUV
resists are reported. We also present contact hole printing at the 30-nm level. Although resist development has
progressed relatively well in the areas of resolution and sensitivity, line-edge-roughness (LER) remains a significant
concern. Here we present a summary of recent LER performance results and consider the effect of system-level
contributors to the LER observed from the SEMATECH Berkeley microfield tool.
xAs the design rule of semiconductor device shrinks, the field CD uniformity gets more important. For mass production of 0.15 μm technology device using KrF stepper having 0.63NA, the improvement of field CD uniformity was one of key issues because field CD uniformity is directly related to device characteristics in some layers. We have experienced steppers that show poor illumination uniformity. With those steppers there was large CD difference of about 10nm between field center and field edges as shown in Figure 1. Although we were using verified reticles, we could not get an acceptable CD uniformity in a field with those steppers. The Field CD uniformity is dominantly dependent of the illumination uniformity of stepper and mask quality. With these optimization, we could control DICD difference between field center and edge to be less than 5nm. In this paper, we characterized the dependency of field CD uniformity according to illumination systems with stepper and scanner, annular illumination uniformity at various stigma, mask CD uniformity and the several types of novel gray filter specifically developed.
We can print contact hole pattern beyond the optical resolution limit using resist flow process. But CD uniformity should be improved for its masse implementation because CD uniformity gets worser as shrink bias gets larger in resist flow process. For example, contact hole size of wafer edge region is larger by the amount of about 20nm than that of wafer center region. Contact hole CD uniformity depends on uniformity of wafer temperature to which bake oven temperature uniformity and atmosphere temperature uniformity surrounding the wafer in bake oven can affect. The air and exhaust have large effect on the temperature uniformity of atmosphere surrounding the wafer. And mechanical structure of bake oven has an influence on wafer temperature uniformity. So we tested CD uniformity according to types of middle cover in bake oven. We also investigated CD uniformity according to resist inherent characteristics concerning resist flow rate. We optimized resist flow process using two step flow system, which improves wafer CD uniformity by amount of 30% compared to one step resist flow process and considering resist thickness dependency. Device characteristics of chain resistance uniformity was enhanced by improving contact hole CD uniformity.
In this paper, we will discuss the limitation of optical lithography with various resolution enhancement technologies. Lithography simulation was done by Hynix OPC Simulation Tool (HOST) based on Diffused Aerial Image Model (DAIM). The effects of numerical aperture (NA), wavelength, illumination conditions, mask and diffusion length of acid were simulated in view of resolution improvement. Diffusion length of acid is a dominant factor for resolution improvement for sub-100 nm era. As pattern size decreased, the limitation of optical lithography is more affected by diffusion length of acid. And other factors (NA, wavelength, illumination conditions and mask) will be discussed. Finally, ultimate the limitation of lithography will be discussed analytically.
We can print the contact hole pattern beyond the optical resolution limit using resist flow process. But its application has not strong point for the layer having various kinds of contact hole size and duty ratio according to x-axis or y-axis. Nevertheless, for the mass production of sub-150nm design rule device with KrF lithography using resist flow process, moderate shrink bias of below 40nm is required because the CD uniformity gets worse as the shrink bias gets larger by the influence of the hotplate dependency. We developed novel technology of shrinking contact hole using chemically amplified resist. It is generally known that the chemically amplified resist have t- top profile or larger line width when it has too much post exposure delay time at high amine concentration. Using this phenomenon, we intentionally treated amine of hexamethyl disilazane between exposure step and post exposure bake step, so we got smaller contact hole. Pattern profile of contact holes obtained by CONPEAT(CONtact hole resolution enhancement by Post Exposure Amine Treatment) process was fine. The contact size was 190nm with normal process and it was shrunk to 150nm using CONPEAT process. In this paper, we report the novel contact hole shrinkage technology of CONPEAT process. Its process feasibility was studied considering pattern fidelity, shrink bias and CD uniformity. We also introduce the experimental results of super contact hole shrinkage process using both contact hole shrinkage technology of CONPEAT process and resist flow process simultaneously.
The effect of mixed PAGs on the performance of ArF photoresists based on cycloolefin/maleic anhydride (COMA) copolymers were investigated. Several different PAGs were prepared according to the size of photogenerated acid moiety and structure of light sensitive chromophore, and the impact of PAG property on lithographic performance was investigated in terms of the acid size, acid generation efficiency, and hydrophobicity of PAG. The diffusion according to the size of generated acid and hydrophobicity of PAG were found to be the most profound factors of the pattern profile and line edge roughness (LER) of developed resist pattern. Resolution capability as well as PED stability can be improved though adjustment of acid size, PAG hydrophobicity. Additionally, profile difference between dark and bright field according to mask type can be suppressed by the use of PAG with lower acid generation efficiency. However, lithographic performance, such as LER, pattern profile, and PED stability show the dissimilar trend with acid size and hydrophobicity of PAG. Thus, when PAG mixture is employed in a photoresist formulation, it is observed that both pattern profile and LER were improved simultaneously, which has been difficult to achieve for previously reported OCMA- based ArF resists.
We have developed several COMA (Cycloolefin-maleic anhydride) type resists and demonstrated their good lithographic performances, especially in the isolated line. Our resist (DHA-H110) was newly upgraded for the manufacturing of sub-100nm device in terms of bulk slope, LER (Line Edge Roughness), CD Linearity, and matching with substrate to prevent pattern collapse. The chemical structure of base resin was almost unchanged. The bulk slope resulted from high absorbency of the matrix resin was successfully overcome by introducing new additive, S1, which is an agent to remove not only top loss but also footing in the bottom. In real device application, DHA-H110 exhibits better adhesion and smaller LER than acrylate type resists on organic BARC. In addition, it shows superior pattern profile after etch process to acrylate type resists. In this paper, we suggest resist related issues for sub-100nm patterning and present lithographic performances of DHA-H110 in detail.
To overcome C/H(contact holes) shrinkage limitation of Resist Flow Process (RFP), we investigated and analyzed the tendency of the shrink bias according to the baking temperature and other process factors. Based on this basic test, we found that the shrink bias for the baking temperature could be modeled on the simple linear function. And also we estimated new Hotplate to improve CD uniformity after the resist flow and evaluated newly developed photoresist (New Resist) for the stable C/H shrinkage. In this study, we could recognize that CD uniformity after the resist flow was very dependent on actual temperature uniformity of Hotplate. Actually New Hotplate, which was superior to normal Hotplate, showed good CD uniformity (16nm) at the strong brink bias(140nm). On the other hand, the C/H shrinkage of New Resist was more stable than those of normal KrF Resists and its C/H profiles could not be severely deformed at even high baking temperature to shrink Sub-70nm C/H from original C/H(200nm). Based on these results, the progressive Resist Flow Process in KrF lithography will be a very robust candidate at even high gigabit generation devices.
Application of a top surface imaging process by silylation (TIPS) to ArF excimer lithography is desirable for ULSI production with minimum feature size below 0.12micrometers . It provides high etch resistance and controls non-uniform reflectivity in the multiple film layers over topography and shows superior characteristics in terms of adhesion and resist pattern collapse compared with single layer resist process of wet development. The processes for top surface imaging have been improved by many groups, however, the design of photoresist for TIPS in 193nm lithography has been considerably limited due to its characteristics like energy sensitivity, silylation selectivity. Positive-tone chemically amplified TSI resist of crosslinking type is more appropriate for sub-100nm lithography in consideration of adhesion and resist rigidity. A new class of positive phororesist containing polyvinylphenol and novel acetal type crosslinker of poly(3,3'-dimethoxypropene) was developed for 193nm top surface imaging process by silylation. This new resist containing acetal type crosslinker is quite different with those practiced in traditional DUV and ArF lithography. Novel crosslinker was synthesized from polyacrolein with a yield of 90%. And then the silylation properties and lithography performances of the resist were investigated for 193nm top surface imaging process using gas-phase 1,1,3,3- tetramethyl disilazane(TMDS) as a silylating agent. The minimum pattern size of 0.12micrometers L/S was defined after dry development at a sensitivity of 2 mJ/cm2 with annular illumination using ArF microstepper having 0.60 NA. In this paper, the material characteristics of novel resist containing acetal type crosslinker of poly(3,3'- dimethoxypropene) and lithographic performances is reported for 193nm top surface imaging process by silylation.
The compatibility of novel organic bottom anti-reflective coatings (BARC), HEART003 and HEART004 developed by Hyundai Electronics, with various chemically amplified resists (CAR) was reported. The incompatibility between organic BARC and CARs is caused by the differences of residual activity on the surface of organic BARC after baking process and the types of dissolution inhibitors in the polymer of CAR and photo acid generators (PAG) used in their formulation. In KrF lithography, the HEART003 was much compatible with acetal type resist than annealing type resist because it's neutral acidity on the surface of BARC. The incompatibility with annealing type resist was caused by weak residual acidity on the surface of BARC, relatively. Thus we tried to adjust the feasible residual acidity on the surface of BARC and optimum thermal baking condition. The modified HEART003 has excellent compatibility not only with acetal type resist, but also with annealing type resist in the same platform. In ArF lithography, the HEART004 has also good compatibility with cycloolefin type and (meth)acrylate type ArF resist by modification of its formulation.
In our previous model, we have introduced a lot of t- butylcarboxylate group in matrix resin to achieve a high contrast and obtain a good lithographic performance. Most ArF photoresists having only t-butylcarboxylate group as a dissolution inhibitor have showed by far the inferior performance in a poor amine controlled environment. To overcome this problem, we greatly reduced the usage of t-butyl carboxylate group and increased the amount of HMEBC that contains both carboxylic acid group and alcohol group. And also, we newly introduced acid labile cross-linker for high contrast. Our novel resist exhibited an excellent lithographic performance without any protective top coating material, namely, a good PED (post exposure delay) stability, an improved CD (Critical Dimension) linearity, a proper sensitivity for process, and a good contrast. In addition, its synthetic yield is very high (>50%) and then it is cost- effective for mass production. 120 nm patterns were successfully defined at 13 mJ/cm2 by using a BIM (Binary Intensity Mask) with 2/3 annular (0.50/0.75(sigma) ).
We have newly developed a novel multi-functional monomer. Application of this monomer also allows us to introduce another unit to further improve its etch resistance. Furthermore, our novel resist containing this multi- functional monomer exhibits an excellent adhesion to Si substrate, an improved CD linearity, a high sensitivity, a good contrast, and a high synthetic yield. A 110nm L/S pattern was successfully at 1:2 pitch of a strong PSM was also successfully obtained by using a 2.38wt percent TMAH aqueous solution as a developer.
In this paper we report here on lithographic performance of high resolution, environmentally stable and aqueous base developable positive tone resist for DUV lithography. There have been a lot of efforts to prevent the resist from suffering from the deactivation of acid during the delay time between exposure and post exposure bake (PEB). The new design of matrix resin containing amide functional group has advantages over current lithographic techniques. The effects of amide functional group as a basic additive in a chemically amplified resist was investigated. A new class of matrix resin containing amide functional group, poly(hydroxystyrene-co-t- butyl acrylate-co-3-(t-butoxycarbonyl)-1-vinyl-2-caprolactam), was developed. It showed 0.20 micrometer lines/spaces patterns of this resist using KrF excimer stepper (NA 0.55, partial coherence factor 0.55) with an exposure dose of 25 mJ/cm2. This resist showed no change of pattern profile after 2 hours post exposure delay in which ammonia concentration is 5 ppb. 3-(t-butoxycarbonyl)-1-vinyl-2-caprolatam (BCVC) unit as a basic additive can not only solve amine contamination effectively, but also improve the resolution of the resist. BCVC unit reduces the diffusion of acid and it results in sharp contrast at the interface between the exposed and unexposed areas. Therefore, adding BCVC unit in matrix resin leads to the stabilization of the pattern profile and higher resolution.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.