KEYWORDS: Deep learning, Metrology, Image processing, Data modeling, Image segmentation, Education and training, Automation, Scanning electron microscopy, Optical gratings, Microscopes
AR/VR device technology is evolving fast thus metrology and measurements must be adapted at the same pace as the process development in closed loop analysis. To answer such constraint, we propose a pipeline based on deep learning able to measure gratings structures from cross section and top-down views. This pipeline was updated in parallel to the process development includes additional measurements, new variations of the structures not covered by the algorithm and user feedback for improved metrology. Deep learning can account for such variability, where classic approaches are not able to handle complex 2D structures displaying many degrees of freedom such as size, material and design among others. We illustrate all these challenges with the performances of the pipeline alongside the development cycle requiring modifications of the pipeline. Large batches of data can be processed thanks to the robustness and the speed of the analysis.
Voids in copper lines are a common failure mechanism in the back end of line (BEOL) of integrated circuits manufacturing, affecting chip yield and reliability. As subsequent process nodes continue to shrink metal line dimensions, monitoring and control of these voids gain more and more importance [1]. Currently, there is no quantitative in-line metrology technique that allows voids to be identified and measured. This work aims to develop a new method to do so, by combining scatterometry (also referred to as Optical Critical Dimension or Optical CD) and low-energy x-ray fluorescence (LE-XRF), as well as machine learning techniques. By combining the inputs from these tools in the form of hybrid metrology, as well as with the incorporation of machine learning methods, we create a new metric, referred to as Vxo, to characterize the quantity of void. Additionally, the results are compared with inline electrical test data, as higher amounts of voids were expected to increase the measured resistivity. This was not found to be the case, as the impact of the voids was much less of a factor than variation in the cross-sectional area of the lines.
As device scaling continues, controlling defect densities on the wafer becomes essential for high volume manufacturing (HVM). One type of defect, the non-selective SiGe nodule, becomes more difficult to control during SiGe epitaxy (EPI) growth for p-type field effect transistor (pFET) source and drain. The process window for SiGe EPI growth with low nodule density becomes extremely tight due to the shrinking of contact poly pitch (CPP). Any tiny process shift or incoming structure shift could introduce a high density of nodules, which could affect device performance and yield. The current defect inspection method has a low throughput, so a fast and quantitative characterization technique is preferred for measuring and monitoring this type of defect.
Scatterometry is a fast and non-destructive in-line metrology technique. In this work, novel methods were developed to accurately and comprehensively measure the SiGe nodules with scatterometry information. Top-down critical dimension scanning electron microscopy (CD-SEM) images were collected and analyzed on the same location as scatterometry measurement for calibration. Machine learning (ML) algorithms are used to analyze the correlation between the raw spectra and defect density and area fraction. The analysis showed that the defect density and area fractions can be measured separately by correlating intensity variations. In addition to the defect density and area fraction, we also investigate a novel method – model-based scatterometry hybridized with machine learning capabilities – to quantify the average height of the defects along the sidewall of the gate. Hybridizing the machine learning method with the model-based one could also eliminate the possibility of misinterpreting the defect as some structural parameters. Furthermore, cross-sectional TEM and SEM measurement are used to calibrate the model-based scatterometry results. In this work, the correlation between the SiGe nodule defects and the structural parameters of the device is also studied. The preliminary result shows that there is strong correlation between the defect density and spacer thickness. Correlations between the defect density and the structural parameters provides useful information for process engineers to optimize the EPI growth process. With the advances in the scatterometry-based defect measurement metrology, we demonstrate such fast, quantitative, and comprehensive measurement of SiGe nodule defects can be used to improve the throughput and yield.
Multi-channel gate all around (GAA) semiconductor devices require measurements of more target parameters than FinFET devices, due in part to the increased complexity of the different structures needed to fabricate nanosheet devices. In some cases, multiple measurement techniques are required to be used in a hybrid-metrology technique in order to properly extract the necessary information. Optical scatterometry (optical critical dimension, or OCD) is an inline metrology technique which is used to measure the geometrical profile of the structure, but it may not ordinarily be sensitive to very small residues. X-ray based metrologies, such as x-ray fluorescence (XRF) can be used to identify which materials are present in the structure, but are not able to measure profile information for complex 3D structures.
This paper reviews a critical etch process step, where neither OCD nor XRF can extract all of the necessary information about the structure on their own, but, when hybridized, are able to provide enough information to solve the application. In GAA structures, the nanosheets are formed from alternating layers of thin SiGe and Si layers which are deposited on a bulk Si substrate. To form the nFET channel, the SiGe must be removed. However, in some cases, there is still remaining SiGe residue on the surface of the Si nanosheets, present in small amounts that are difficult to measure with conventional OCD. Additionally, it is desirable to know at which level of the stacked nanosheets the residue is present. In order to properly characterize the amount of SiGe remaining, data from both OCD and XRF are used. By measuring before and after the etch, the XRF can calculate the percentage of SiGe that is remaining after the etch. This percentage can be used as a constraint in the OCD model to allow the OCD to accurately measure the amount of SiGe, and to enable the OCD model to identify the location of the residue.
Electrical test measurement in the back-end of line (BEOL) is crucial for wafer and die sorting as well as comparing intended process splits. Any in-line, nondestructive technique in the process flow to accurately predict these measurements can significantly improve mean-time-to-detect (MTTD) of defects and improve cycle times for yield and process learning. Measuring after BEOL metallization is commonly done for process control and learning, particularly with scatterometry (also called OCD (Optical Critical Dimension)), which can solve for multiple profile parameters such as metal line height or sidewall angle and does so within patterned regions. This gives scatterometry an advantage over inline microscopy-based techniques, which provide top-down information, since such techniques can be insensitive to sidewall variations hidden under the metal fill of the trench. But when faced with correlation to electrical test measurements that are specific to the BEOL processing, both techniques face the additional challenge of sampling. Microscopy-based techniques are sampling-limited by their small probe size, while scatterometry is traditionally limited (for microprocessors) to scribe targets that mimic device ground rules but are not necessarily designed to be electrically testable. A solution to this sampling challenge lies in a fast reference-based machine learning capability that allows for OCD measurement directly of the electrically-testable structures, even when they are not OCD-compatible. By incorporating such direct OCD measurements, correlation to, and therefore prediction of, resistance of BEOL electrical test structures is significantly improved. Improvements in prediction capability for multiple types of in-die electrically-testable device structures is demonstrated. To further improve the quality of the prediction of the electrical resistance measurements, hybrid metrology using the OCD measurements as well as X-ray metrology (XRF) is used. Hybrid metrology is the practice of combining information from multiple sources in order to enable or improve the measurement of one or more critical parameters. Here, the XRF measurements are used to detect subtle changes in barrier layer composition and thickness that can have second-order effects on the electrical resistance of the test structures. By accounting for such effects with the aid of the X-ray-based measurements, further improvement in the OCD correlation to electrical test measurements is achieved. Using both types of solution incorporation of fast reference-based machine learning on nonOCD-compatible test structures, and hybrid metrology combining OCD with XRF technology improvement in BEOL cycle time learning could be accomplished through improved prediction capability.
Multi-channel gate all around (GAA) semiconductor devices march closer to becoming a reality in production as their maturity in development continues. From this development, an understanding of what physical parameters affecting the device has emerged. The importance of material property characterization relative to that of other physical parameters has continued to increase for GAA architecture when compared to its relative importance in earlier architectures. Among these materials properties are the concentration of Ge in SiGe channels and the strain in these channels and related films. But because these properties can be altered by many different process steps, each one adding its own variation to these parameters, their characterization and control at multiple steps in the process flow is crucial. This paper investigates the characterization of strain and Ge concentration, and the relationships between these properties, in the PFET SiGe channel material at the earliest stages of processing for GAA devices. Grown on a bulk Si substrate, multiple pairs of thin SiGe/Si layers that eventually form the basis of the PFET channel are measured and characterized in this study. Multiple measurement techniques are used to measure the material properties. In-line X-Ray Photoelectron Spectroscopy (XPS) and Low Energy X-Ray Fluorescence (LE-XRF) are used to characterize Ge content, while in-line High Resolution X-Ray Diffraction (HRXRD) is used to characterize strain. Because both patterned and un-patterned structures were investigated, scatterometry (also called optical critical dimension, or OCD) is used to provide valuable geometrical metrology.
Metrology of nanoscale patterns poses multiple challenges that range from measurement noise, metrology errors, probe size etc. Optical Metrology has gained a lot of significance in the semiconductor industry due to its fast turn around and reliable accuracy, particularly to monitor in-line process variations. Apart from monitoring critical dimension, thickness of films, there are multiple parameters that can be extracted from Optical Metrology models3. Sidewall angles, material compositions etc., can also be modeled to acceptable accuracy. Line edge and Line Width roughness are much sought of metrology following critical dimension and its uniformity, although there has not been much development in them with optical metrology. Scanning Electron Microscopy is still used as a standard metrology technique for assessment of Line Edge and Line Width roughness. In this work we present an assessment of Optical Metrology and its ability to model roughness from a set of structures with intentional jogs to simulate both Line edge and Line width roughness at multiple amplitudes and frequencies. We also present multiple models to represent roughness and extract relevant parameters from Optical metrology. Another critical aspect of optical metrology setup is correlation of measurement to a complementary technique to calibrate models. In this work, we also present comparison of roughness parameters extracted and measured with variation of image processing conditions on a commercially available CD-SEM tool.
Pattern transfer fidelity is always a major challenge for any lithography process and needs continuous improvement. Lithographic processes in semiconductor industry are primarily driven by optical imaging on photosensitive polymeric material (resists). Quality of pattern transfer can be assessed by quantifying multiple parameters such as, feature size uniformity (CD), placement, roughness, sidewall angles etc. Roughness in features primarily corresponds to variation of line edge or line width and has gained considerable significance, particularly due to shrinking feature sizes and variations of features in the same order. This has caused downstream processes (Etch (RIE), Chemical Mechanical Polish (CMP) etc.) to reconsider respective tolerance levels. A very important aspect of this work is relevance of roughness metrology from pattern formation at resist to subsequent processes, particularly electrical validity. A major drawback of current LER/LWR metric (sigma) is its lack of relevance across multiple downstream processes which effects material selection at various unit processes. In this work we present a comprehensive assessment of Line Edge and Line Width Roughness at multiple lithographic transfer processes. To simulate effect of roughness a pattern was designed with periodic jogs on the edges of lines with varying amplitudes and frequencies. There are numerous methodologies proposed to analyze roughness and in this work we apply them to programmed roughness structures to assess each technique’s sensitivity. This work also aims to identify a relevant methodology to quantify roughness with relevance across downstream processes.
Self-Aligned Quadruple Patterning (SAQP) is a promising technique extending the 193-nm lithography to manufacture structures that are 20nm half pitch or smaller. This process adopts multiple sidewall spacer image transfers to split a rather relaxed design into a quarter of its original pitch. Due to the number of multiple process steps required for the pitch splitting in SAQP, the process error propagates through each deposition and etch, and accumulates at the final step into structure variations, such as pitch walk and poor critical dimension uniformity (CDU). They can further affect the downstream processes and lower the yield. The impact of this error propagation becomes significant for advanced technology nodes when the process specifications of device design CD requirements are at nanometer scale. Therefore, semiconductor manufacturing demands strict in-line process control to ensure a high process yield and improved performance, which must rely on precise measurements to enable corrective actions and quick decision making for process development. This work aims to provide a comprehensive metrology solution for SAQP.
During SAQP process development, the challenges in conventional in-line metrology techniques start to surface. For instance, critical-dimension scanning electron microscopy (CDSEM) is commonly the first choice for CD and pitch variation control. However, it is found that the high aspect ratio at mandrel level processes and the trench variations after etch prevent the tool from extracting the true bottom edges of the structure in order to report the position shift. On the other hand, while the complex shape and variations can be captured with scatterometry, or optical CD (OCD), the asymmetric features, such as pitch walk, show low sensitivity with strong correlations in scatterometry. X-ray diffraction (XRD) is known to provide useful direct measurements of the pitch walk in crystalline arrays, yet the data analysis is influenced by the incoming geometry and must be used carefully.
A successful implementation of SAQP process control for yield improvement requires the metrology issues to be addressed. By optimizing the measurement parameters and beam configurations, CDSEM measurements distinguish each of the spaces corresponding to the upstream mandrel processes and report their CDs separately to feed back to the process team for the next development cycle. We also utilize the unique capability in scatterometry to measure the structure details in-line and implement a “predictive” process control, which shows a good correlation between the “predictive” measurement and the cross-sections from our design of experiments (DOE). The ability to measure the pitch walk in scatterometry was also demonstrated. This work also explored the frontier of in-line XRD capability by enabling an automatic RSM fitting on tool to output pitch walk values. With these advances in metrology development, we are able to demonstrate the impacts of in-line monitoring in the SAQP process, to shorten the patterning development learning cycle to improve the yield.
Gate-all-around (GAA) nanowire (NW) devices have long been acknowledged as the ultimate device from an electrostatic scaling point of view. The GAA architecture offers improved short channel effect (SCE) immunity compared to single and double gate planar, FinFET, and trigate structures. One attractive proposal for making GAA devices involves the use of a multilayer fin-like structure consisting of layers of Si and SiGe. However, such structures pose various metrology challenges, both geometrical and material. Optical Scatterometry, also called optical critical dimension (OCD) is a fast, accurate and non-destructive in-line metrology technique well suited for GAA integration challenges. In this work, OCD is used as an enabler for the process development of nanowire devices, extending its abilities to learn new material and process aspects specific to this novel device integration. The specific metrology challenges from multiple key steps in the process flow are detailed, along with the corresponding OCD solutions and results. In addition, Low Energy X-Ray Fluorescence (LE-XRF) is applied to process steps before and after the removal of the SiGe layers in order to quantify the amount of Ge present at each step. These results are correlated to OCD measurements of the Ge content, demonstrating that both OCD and LE-XRF are sensitive to Ge content for these applications.
KEYWORDS: Line edge roughness, Silicon, Scatterometry, Data modeling, Optical components, Scanning electron microscopy, Picosecond phenomena, Chemical elements, Line width roughness, Optical properties
Measurement and control of line edge roughness (LER) is one of the most challenging issues facing patterning technology. As the critical dimensions (CDs) of patterned structures decrease, an LER of only a few nanometers negatively impacts device performance. Here, Mueller matrix (MM) spectroscopic ellipsometry-based scatterometry is used to characterize LER in periodic line-space structures in 28-nm pitch Si fin samples fabricated by directed self-assembly patterning. The optical response of the MM elements is influenced by structural parameters like pitch, CDs, height, and side-wall angle, as well as the optical properties of the materials. Evaluation and decoupling MM element response to LER from other structural parameters requires sensitivity analysis using scatterometry models that include LER. Here, an approach is developed that can be used to characterize LER in Si fins by comparing the optical responses generated by systematically varying the grating shape and measurement conditions. Finally, the validity of this approach is established by comparing the results obtained from power spectral density analysis of top down scanning electron microscope images and cross-sectional transmission electron microscope image of the 28-nm pitch Si fins.
Measurement and control of line edge roughness (LER) is one of the most challenging issues facing patterning
technology. As the critical dimensions (CD) of patterned structures decrease, LER of only a few nanometers can
negatively impact device performance. Here, Mueller matrix spectroscopic ellipsometry (MMSE) based scatterometry is
used to determine LER in periodic line-space structures in 28 nm pitch Si fin samples fabricated by directed selfassembly
(DSA) patterning. The optical response of the Mueller matrix (MM) elements is influenced by structural
parameters like pitch, CD, height, and side-wall angle (SWA), as well as the optical properties of the materials.
Evaluation and decoupling MM element response to LER from other structural parameters requires sensitivity analysis
using simulations of optical models that include LER. Here, an approach is developed that quantifies Si fin LER by
comparing the optical responses generated by systematically varying the grating shape and measurement conditions.
Finally, the validity of this approach is established by comparing the results obtained from top down scanning electron
microscope (SEM) images and cross-sectional TEM image of the 28 nm pitch Si fins.
Integrated circuits from 22-nm node and beyond utilize many innovative techniques to achieve features that are well beyond the resolution limit of 193-nm immersion lithography. The introduction of complex three-dimensional structures in device design presents additional challenges that require more sophisticated metrology with high accuracy and precision. One such example is pitch walking induced by multiple-patterning techniques. Quantification of pitch walking has traditionally been a challenge. We present two ways of detecting pitch walking using optical and x-ray techniques. In scatterometry, this work investigates the feasibility of nonorthogonal azimuth angle spectroscopic reflectometry setups for fin pitch walking measurements, which is useful for in-line monitoring in 14-nm node microelectronics manufacturing. Simulations show a significant improvement in pitch walking sensitivity using 45-deg azimuth scan. Other relevant considerations for pitch walking modeling in scatterometry, such as parameter correlations, are also discussed. Another approach is using high-resolution x-ray diffraction (HRXRD) to measure the diffraction peaks from crystalline fins. The onset of pitch walking is determined by the appearance of a shifted subset of peaks in the diffraction spectrum. Information about the fin profiles, e.g., sidewall angle, critical dimension, height, and pitch walking, can be obtained from the resultant diffraction pattern. Note that in HRXRD measurements, each critical parameter is a unique element in the Reciprocal Space Map (RSM) and no correlations between parameters exist. We will discuss the results from measurements using the two techniques and how the combination of the two techniques can give complete information about the fins needed for in-line monitoring.
Integrated circuits from 22nm node and beyond utilize many innovative techniques to achieve features that are well beyond the resolution limit of 193nm immersion lithography. The introduction of complex 3D structures in device design presents additional challenges that require more sophisticated metrology with high accuracy and precision. One such example is pitch walking induced by multiple-patterning techniques. Quantification of pitch walking has traditionally been a challenge. In this paper, we present two ways of detecting pitch walking using optical and X-ray techniques. In scatterometry, this work investigates the feasibility of non-orthogonal azimuth angle spectroscopic reflectometry setups for Fin pitch walking measurements, which is useful for in-line monitoring in 14nm node microelectronics manufacturing. Simulations show a significant improvement in pitch walking sensitivity using 45 degree azimuth scan. Other relevant considerations for pitch walking modeling in scatterometry, such as parameter correlations, are also discussed. Another approach is using high-resolution X-ray diffraction (HRXRD).Which is sensitive to the crystalline films. Pitch walking is seen as additional peaks in the diffraction and the intensities can be used to quantify the pitch walking. In addition, additional information about the Fin profiles, e.g. sidewall angle, CD and height, can be obtained. Note that in HRXRD measurements, all the parameters are deconvolved from the pitch walking. In this paper, we will discuss the results from measurements using the two techniques and how the combination of the two techniques can give complete information about the fins needed for in-line monitoring.
Although fin metrology presents many challenges, the single crystal nature of the fins also provides opportunities to use a combination of measurement methods to determine stress and pitch. While the diffraction of light during a scatterometry measurement is well known, X-ray diffraction from a field (array) of single crystal silicon fins can also provide important information. Since some fins have Si1-xGex alloys at the top of the fin, determination of the presence of stress relaxation is another critical aspect of fin characterization. Theoretical studies predict that the bi-axially stressed crystal structure of pseudomorphic alloy films will be altered by the fin structure. For example, one expects it will be different along the length of the fin vs the width. Reciprocal space map (RSM) characterization can provide a window in the stress state of fins as well as measure pitch walking and other structural information. In this paper, we describe the fundamentals of how RSMs can be used to characterize the pitch of an array of fins as well as the stress state. We describe how this impacts the optical properties used in scatterometry measurement.
The uses of strained channel became prevalent at the 65 nm node and have continued to be a large part of logic device performance improvements in every technology generation. These material and integration innovations will continue to be important in sub-22nm devices, and are already being applied in finFET devices where total available in-channel strains are potentially higher. The measurement of structures containing these materials is complicated by the intrinsic correlation of the measured optical thickness and variation of optical properties with strain, as well as the dramatic reduction in total volume of the device. Optical scatterometry has enabled characterization of the feature shape and dimensions of complex 3D structures, including non-planar transistors and memory structures. Ellipsometric methods have been successfully applied to the measurement of thin films of SiGe and related strained structures. A direction for research is validating that the thin film stress results can be extended into the much more physically complex 3D shape. There are clear challenges in this: the stress in a SiGe fin is constrained to match the underlying Si along one axis, but the sides and top are free, leading to very large strain gradients both along the fin width and height. Practical utilization of optical techniques as a development tool is often limited by the complexity of the scatterometry model and setup, and this added material complexity presents a new challenge. In this study, generalized spectroscopic ellipsometric measurements of strained grating was undertaken, in parallel with reference cross sectional and top down SEM data. The measurements were modeled for both anisotropy calculations, as well as full scatterometry calculations, fitting the strain and structure. The degree to which strain and CD can be quickly quantified in an optical model is discussed. Sum decomposition method has been implemented to extract the effective anisotropic coefficients and a discussion on the effect of anisotropy toward modeling is presented. Finally, errors in the scatterometry measurement are analyzed, and the relative strengths and limitations of these optical measurements compared.
Scatterometry is one of the most useful metrology methods for the characterization and control of critical dimensions and the detailed feature shape of periodic structures found in the microelectronics fabrication processes. Spectroscopic ellipsometry (SE) and normal incidence reflectometry (NI)-based scatterometry are widely used optical methodologies for metrology of these structures. Evolution of improved optical hardware and faster computing capabilities led to the development of Mueller matrix (MM)-based scatterometry (MMS). Unlike SE and NI, MM data provides complete information about the optical reflection and transmission of polarized light interacting with a sample. This gives MMS an advantage over traditional SE scatterometry due to the ability to characterize samples that have anisotropic optical properties and depolarize light. In this paper, we present the study of full MM (16-element) scatterometry over a wide spectral range from 245 to 1700 nm on a series of one-dimensional, two-dimensional symmetric, and asymmetric grating structures. A series of laterally complex nanoscale structures were designed and fabricated using a state-of-the-art e-beam patterning. Spectroscopic MM and SE data were collected using a dual rotating compensator ellipsometer. Commercial modeling software based on the rigorous coupled-wave approximation was used to precisely calculate the critical dimensions. Results from MMS were compared with scanning electron microscopy.
KEYWORDS: Scatterometry, Scanning electron microscopy, Data modeling, Metrology, Nanostructures, Spectroscopy, Diffraction, Chemical elements, Critical dimension metrology, Electron beam lithography
Scatterometry is one of the most useful metrology methods for the characterization and control of critical dimensions
(CD) and the detailed topography of periodic structures in microelectronics fabrication processes. Spectroscopic
Ellipsometry (SE) and Normal Incidence Reflectometry (NI) based Scatterometry are the most widely used
methodologies for metrology of these structures. Evolution of better optical hardware and faster computing capabilities
led to the development of Mueller Matrix (MM) based Scatterometry (MMS). In this paper we present the first study of
dimensional metrology using full Mueller Matrix (16 element) Scatterometry in the wavelength range of 245nm-
1000nm. Unlike SE and NI, MM data provides complete information about the optical reflection and transmission of
polarized light through a sample. MM is a 4x4 transformation matrix (16 elements) describing the change in the
intensities of incident polarized light expressed by means of a Stokes Vector. The symmetry properties associated with
MM provide an excellent means of measuring and understanding the topography of the periodic nanostructures.
Topography here refers to uniformity of the periodic structure. The advantage of MMS over traditional SE Scatterometry
is the ability of MMS to measure samples that have anisotropic optical properties and depolarize light.
The present study focuses on understanding the precision and accuracy of Mueller based Scatterometry with respect to
other methodologies by a systematic approach. Several laterally complex nanoscale structures with dimensions in the
order of nanometers were designed and fabricated using a state of the art E-beam pattering tool (VISTEC [R] 300). Later,
Spectroscopic Mueller matrix (all 16 elements) and SE data were collected in planar diffraction mode for the samples
using J.A. Woollam RC2 [TM] Spectroscopic Ellipsometer. NanoDiffract [TM] (Scatterometry software provided by
Nanometrics Inc.) was used to model the nanostructures to precisely calculate the critical dimensions. Complementary
techniques like SEM were used to compare the results obtained from Scatterometry. Finally, Mueller and SE based
Scatterometry techniques were compared commenting on reliability of MM based Scatterometry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.