Controlling line width roughness (LWR) is a critical issue in extreme ultraviolet lithography (EUVL). High
sensitivity, high resolution, and low LWR are required for EUV lithography resist. However, simultaneously
achieving optimal properties through chemical tuning alone is difficult. The track process is one of the factors
that impacts LWR. Enhancing track processes in EUV lithography is thus critical to controlling LWR.
This paper describes an approach to mitigating LWR based on optimizing track-based and etch-based
processes. It also presents the results of our newly developed track-based smoothing process as well as the
results of combining several track-based techniques. The latest LWR performance from using track-based
techniques, optimized track processes, and etch-based techniques will be highlighted.
Moore's Law continues to drive improvements to lithographic resolution to increase integrated circuit transistor density,
improve performance, and reduce cost. For the 22 nm node and beyond, extreme ultraviolet lithography (EUVL) is a
promising technology with λ=13.5 nm, a larger k1 value and lower cost of ownership than other available technologies.
For small feature sizes, process control will be increasingly challenging, as small features will create measurement
uncertainties, yet with tighter specifications. Optical scatterometry is a primary candidate metrology for EUV
lithography process control. Using simulation and experimental data, this work will explore scatterometry's application
to a typical lithography process being used for EUV development, which should be representative of lithography
processes that will be utilized for EUV High Volume manufacturing (HVM). EUV lithography will be performed using
much thinner photoresist thicknesses than were used at the 248nm or 193nm lithography generations, and will probably
include underlayers for adhesion improvement; these new processes conditions were investigated in this metrological
study.
Line width roughness (LWR) control is a critical issue in extreme ultraviolet lithography (EUVL). The
difficulty of controlling LWR and the need to minimize it have grown as the sensitivity of materials and
resolution in the resist patterning process has improved. Another critical feature that has become difficult to
control in EUVL and 22nm half-pitch systems is pattern collapse. The increase of aspect ratio that comes from
further scaling promotes the onset of pattern collapse. Both pattern collapse and LWR are easily observed in
EUVL and leading-edge ArF immersion lithography.
This paper will demonstrate recent gains in LWR control in leading EUV films using track-based processes,
etch-based improvements, and the results of combined techniques. Also the use of a newly developed EUV-specific
FIRM™ rinse chemistry to reduce pattern collapse will be discussed along with future development
activities and industry requirements for both LWR and pattern collapse.
With the projected rollout of pre-production extreme ultraviolet lithography (EUVL) scanners in 2010, EUVL pilot line
production will become a reality in wafer fabrication companies. Among EUVL infrastructure items that must be ready,
EUV mask carriers remain critical. To keep non-pellicle EUV masks free from particle contamination, an EUV pod
concept has been extensively studied. Early prototypes demonstrated nearly particle-free results at a 53 nm PSL
equivalent inspection sensitivity during EUVL mask robotic handling, shipment, vacuum pump-purge, and storage. After
the passage of SEMI E152, which specifies the EUV pod mechanical interfaces, standards-compliant EUV pod
prototypes, including a production version inner pod and prototype outer pod, were built and tested. Their particle
protection capability results are reported in this paper. A state-of-the-art blank defect inspection tool was used to
quantify their defect protection capability during mask robotic handling, shipment, and storage tests. To ensure the availability of an EUV pod for 2010 pilot production, the progress and preliminary test results of pre-production EUV outer pods are reported as well.
Extreme ultraviolet lithography (EUVL) is the most effective way to print sub-30 nm features. We characterized EUVL
readiness of the three major resist platforms for sub-30 nm half-pitch (HP) manufacturability using a full-field ASML
alpha demo tool (ADT) scanner and studied the extendibility of EUV chemically amplified resist (CAR). Based on an
"M-factor" analysis, which shows the maturity of EUV resist for 28 nm HP manufacturability, a polymer-bound
photoacid generator (PAG) resist was 78% ready, a PHS hybrid resist was 81%, and a molecular glass EUV resist was
58%. The polymer-bound resist showed good resolution for 25 nm HP using the ASML ADT. It also demonstrated fair
linewidth roughness (LWR) and a good lithographic process margin of 18% exposure latitude (EL) and 160 nm depth of
field (DOF) for 28 nm HP patterning compared with the other resist platforms, but its resist collapse and etch resistance
need to be improved for manufacturability. PHS hybrid resist showed a fair etch resistance and resist collapse
performance compared to the other resist platforms, but LWR needs to be improved. The molecular resist needs to
mature further, especially in resist collapse and iso-dense (ID) bias. When considering its many strong points and control
of lower acid diffusion, the polymer-bound PAG resist appears to be the most suitable platform for manufacturability and
EUV CAR extension. We therefore would like to encourage the development of next generation polymer-bound PAG
resist with a higher etch resistance.
A process window of 80 nm DOF was demonstrated for 26 nm HP patterning and a measurable DOF for 25 nm HP was
achieved with the polymer-bound PAG resist. Resist collapse and LWR are major issues for 22 nm HP patterning in
manufacturing. LWR improvements were achieved with various techniques, and resist collapse was greatly improved
with a novel approach that uses a residual resist layer. 16 nm HP line/space (L/S) image modulation and 18 nm HP
resolution were demonstrated with an EUV CAR, indicating that EUV CAR could be extended to sub-20 nm HP
patterning.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.