Measuring EPE on logic devices is challenging due to the large variety of features given its random environment and layout. One has to measure an enormous amount of unique features to estimate CD, PPE, LCDU, and LPE of each feature making it impractical for EPE monitoring due to the large metrology load. We developed a simulation-based pattern clustering method that identifies and classifies features with similar EPE behavior to address this challenge. Both geometric design and imaging behavior of the patterns were taken into account to effectively cluster similar features. We report here the verification results of the clustering method which is measured on-wafer for both random layout lines-spaces and vias. With this we make a step towards identification and selection of EPE critical patterns for logic devices, which can be used further for monitoring and control.
The grouping method assisted EPE-aware control method is being explored in a multi-feature dual layer Logic use case. EPE metric is estimated using angle resolved optical Scatterometry based overlay and electron beam-based metrology (large field of view SEM) for the reconstruction of edge-to-edge distance between the Metal and Via pattern. In the setup phase, EPE sensitivities to dose and focus have been derived using data from a FEM wafer. EPE-aware optimization, using scanner dose and overlay control sub-recipes, outperforms traditional optimization in simulations showing reduced EPE max per die. This improvement suggests a potential increase in device yield through the adoption of EPE-aware control strategies. To verify this performance improvement on wafers, an experiment is needed with minimal wafer to wafer and lot to lot variations which can be achieved by reducing time between lots and increasing the number of wafers measured.
As the term EPE was coined in the 1990ties, more recently a more inclusive definition of EPE has been proposed. Meanwhile semiconductor manufacturers see EPE as one of the main performance metrics enabling further shrink.
In this paper we will give an update on the latest developments on EPE. Considering logic and memory use cases we will present evaluations of the EPE budget, including OPC model accuracy, overlay, CDU fingerprints for intra-field and inter field, overlay and local CD and placement error.
The EPE fingerprint characterization is used to optimize scanner control for EPE performance on product. We will show how we can optimize the measured EPE fingerprints using scanner actuators.
In leading edge patterning processes, overlay is now entangled with CD including OPC residuals and stochastics. This combined effect is a serious challenge for continued shrink and can be characterized with an Edge Placement Error (EPE) budget containing multi-domain components: global and local CD, local placement errors, overlay errors, etch biases and OPC. EPE defines process capability and ultimately relates to device yield. Understanding the EPE budget leads to efficient ways to monitor process capability and optimize it using EPE based process control applications. We examine a critical EPE use case on a leading edge DRAM node. We start by constructing and verifying the EPE Budget via densely sampled on-product in-device local, global CD and Overlay metrology after the etch process step. EPE budget contributors are ranked according to their impact to overall EPE performance and later with simulated EPE performance improvements per component. A cost/benefit analysis is shown to help choose the most HVM-friendly solutions.
As device size continues to shrink, stochastic-induced roughness of resist features exposed by photolithography is of increasing concern to the semiconductor industry. In this paper, we propose an end-to-end approach for line roughness analysis by using the Line Roughness Module from our CDU solution family, which is a part of HMI’s metrology SEM tool the eP5. Simulated Scanning Electron Microscope (SEM) images of line/space patterns are used to verify the ability of the Module to reliably extract roughness related metrics. A set of imec EUV ADI images collected on our metrology SEM tool are analyzed by the Line Roughness Module, and wafer signature maps of various roughness metrics are obtained. These wafer maps not only help to analyze different roughness contribution sources, but also provide insights about feature roughness in a systematic way. Such information can be further used in a feedback loop to the scanner for model correction and process control.
Introduction and problem statement
Given that EUV lithography allows printing smaller Critical Dimension (CD) features, it can result in non-normal distributed CD populations on ADI wafers [Civay SPIE AL 2014], leading to errors in predicted failure rates [Bristol SPIE AL 2017]. As a result, there is a need to quantify the actual behavior of the CD population extremes by means of massive metrology [Dillen EUVL 2018]. Not only allows this to study the CD distribution, we can in parallel also evaluate pattern quality and the failure mechanisms leading to defects. This massive metrology method provides an accurate failure rate based on CD, and enables new possibilities to define a failure rate based on different metrics in a single measurement.
Method
We analyze the CD uniformity of pillars in polar coordinates using a global waveform based thresholding strategy. In conjunction with this CD information, we also evaluated the print quality of each individual measured feature.
Fig 1. In line detected anomalies and failure definitions
As we gather this information during the measurement of CD, we can limit the additional measurement overhead to neglectable levels.
Application and outlook
We will show how we can leverage this to determine a defect based process window and relations of failure mechanisms through process conditions (see figure 2). When we take failures in a CH dataset into account, we illustrate the effect on the shape of a large dataset distribution in figure 3.
Fig 2. Defect identification for a through exposure dose experiment of pillars. For each condition >13k pillars where measured. The plot clearly shows an asymmetric behavior due to different failure mechanisms at low and high energy. The 2 vertical lines at relative energies 0.93 and 1.05 times nominal indicate the low defect process window.
Fig 3. A distribution of measured regular grid dense CH. The red line is the unfiltered CD data, the blue line is the shape of the distribution after filtering individual CH measurements that have a much lower contrast than expected.
Traditionally, the performance of a lithography or patterning step is described by its mean size and the spread at a 3 sigma probability. Recent papers by Bristol, Brunner and others have shown this is insufficient to describe the process capability in EUV lithography. To address this challenge, an enormous increase of sampling CD (critical dimension) values is needed to describe the actual distribution on the wafer. We will show how we can address this by leveraging the HMI eP5 e-Beam system to acquire a set of CDs of previously unknown size. We will further show that extended sampling leads to better understanding of this phenomena, as we can probe full distribution behavior even on a limited number of repeated exposures on a wafer.
In this paper, we discuss the metrology methods and error budget that describe the edge placement error (EPE). EPE quantifies the pattern fidelity of a device structure made in a multi-patterning scheme. Here the pattern is the result of a sequence of lithography and etching steps, and consequently the contour of the final pattern contains error sources of the different process steps. EPE is computed by combining optical and ebeam metrology data. We show that high NA optical scatterometer can be used to densely measure in device CD and overlay errors. Large field e-beam system enables massive CD metrology which is used to characterize the local CD error. Local CD distribution needs to be characterized beyond 6 sigma, and requires high throughput e-beam system. We present in this paper the first images of a multi-beam e-beam inspection system. We discuss our holistic patterning optimization approach to understand and minimize the EPE of the final pattern. As a use case, we evaluated a 5-nm logic patterning process based on Self-Aligned-QuadruplePatterning (SAQP) using ArF lithography, combined with line cut exposures using EUV lithography.
KEYWORDS: Metrology, Optical proximity correction, Data modeling, Optical lithography, Signal to noise ratio, OLE for process control, Instrument modeling, Image analysis, Calibration, Metals
In the course of assessing OPC compact modeling capabilities and future requirements, we chose to investigate the interface between CD-SEM metrology methods and OPC modeling in some detail. Two linked observations motivated our study:
1) OPC modeling is, in principle, agnostic of metrology methods and best practice implementation.
2) Metrology teams across the industry use a wide variety of equipment, hardware settings, and image/data analysis methods to generate the large volumes of CD-SEM measurement data that are required for OPC in advanced technology nodes.
Initial analyses led to the conclusion that many independent best practice metrology choices based on systematic study as well as accumulated institutional knowledge and experience can be reasonably made. Furthermore, these choices can result in substantial variations in measurement of otherwise identical model calibration and verification patterns.
We will describe several experimental 2D test cases (i.e., metal, via/cut layers) that examine how systematic changes in metrology practice impact both the metrology data itself and the resulting full chip compact model behavior. Assessment of specific methodology choices will include:
• CD-SEM hardware configurations and settings: these may range from SEM beam conditions (voltage, current, etc.,) to magnification, to frame integration optimizations that balance signal-to-noise vs. resist damage.
• Image and measurement optimization: these may include choice of smoothing filters for noise suppression, threshold settings, etc.
• Pattern measurement methodologies: these may include sampling strategies, CD- and contour- based approaches, and various strategies to optimize the measurement of complex 2D shapes.
In addition, we will present conceptual frameworks and experimental methods that allow practitioners of OPC metrology to assess impacts of metrology best practice choices on model behavior.
Finally, we will also assess requirements posed by node scaling on OPC model accuracy, and evaluate potential consequences for CD-SEM metrology capabilities and practices.
Given the potential impact of distortions within the Field Of View (FOV) of the SEM, we need a method to quantify and describe them. We will show a method to find the magnitude and directions of the distortions. This description will enable assessment of impact on local distance measurements like edge placement errors (EPE) analysis and contour measurements. Knowing the distortions with sufficient resolution and stability can also enable corrections for this phenomenon. We will show that applying this correction in post processing, we can bring back the absolute measurement error from 1.5 nm to 0.3 nm.
Vidya Vaenkatesan, Jo Finders, Peter ten Berge, Reinder Plug, Anko Sijben, Twan Schellekens, Harm Dillen, Wojciech Pocobiej, Vasco Jorge, Jurgen van Dijck
YieldStar (YS) is an established ASML-built scatterometer that is capable of measuring wafer Critical Dimension (CD),
Overlay and Focus. In a recent work, the application range of YS was extended to measure 3D CD patterns on a reticle
(pattern CD, height, Side Wall Angle-SWA). The primary motivation for this study came from imaging studies that
indicated a need for measuring and controlling reticle 3D topography.
CD scanning electron microscope (CD-SEM), Atomic force microscope (AFM), 3D multiple detector SEM (3D-SEM)
are the preferred tools for reticle metrology. While these tools serve the industry well, the current research to the impact
of reticle 3D involves extensive costs, logistic challenges and increased reticle lead time. YS provides an attractive
alternative as it can measure pattern CD, SWA and height in a single measurement and at high throughput. This work
demonstrates the capability of YS as a reticle metrology tool.
Using SEM-EDS analysis on small (< 200 nm) particles is challenging, especially on a substrate with multiple background elements present. We will show a methodology combining three techniques to get the most information out of small particles. This method combines low energy EDS with a nontraditional approach to improve statistics in EDS and elemental mapping. This methodology is required for ASML’s EUV platform, the NXE scanner to continue system improvement for a system showing already low defect count. The poor particle statistics on particle defects lead to a limited amount of particles available for diagnostics, which implies that all information on particle characteristics should be used for diagnostics.
YieldStar (YS) is an ASML-built scatterometry tool with well-established capability to measure wafer Critical Dimension (CD), Overlay and Focus. In a feasibility study, the application range of YS was extended to measure CD patterns in EUV reticles (absorber CD, height, Side Wall Angle-SWA). The measured data compared well with the available data from CD-SEM and AFM. Further the YS measured data was used to mathematically separate the reticle induced fingerprint from the scanner fingerprint.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.