The looming industry transition towards EUV for high-volume manufacture of semiconductors has demonstrated the need for high sensitivity resists capable of delivering the resolution enhancements offered by the 13.5 nm platform. Inorganic and organometallic based resists have demonstrated themselves viable alternatives to traditional chemically amplified (CA) photoresists, as the EUV absorptivity enhancement of metal nuclei can enable efficient reactivity at minimal photon doses. Despite the demand for EUV photoreactive materials, relatively little has been reported on the fundamental reactivity of inorganic and organometallic compounds towards EUV that may enable the rational design of metal-based resists.
To facilitate the design of next-generation metal-based resists, we have evaluated the reactivity of well-known metal-based model photosystems that undergo ligand-to-metal charge-transfer (LMCT), metal-to-ligand charge-transfer (MLCT), outer-sphere charge-transfer (OSCT), and ligand field (LF) based photochemistry in the UV and visible towards EUV and 100 KeV e-beam, with product characterization carried out by infrared, Raman, and UV-Vis spectroscopies. We will report the findings of these studies, emphasizing the relationships between quantum yields in the UV-Vis and EUV, role of the EUV absorption cross-section of the central metal, and trends in reaction classes and their relative sensitivity towards EUV.
As EUV approaches its insertion point into high volume manufacturing the semiconductor industry is increasingly focusing on photoresist performance. Recently metal containing resists have been proposed as alternatives to standard Chemically Amplified (CA) systems. Both approaches suffer from an incomplete knowledge of the EUV imaging mechanism. In particular the origin, number and fate of the secondary electrons believed to be active in the resist reactions is poorly understood. In this contribution we describe a study designed to try and characterize these processes and quantify the reactions that determine resist performances.
We will describe experiments on a series of model CA systems doped with inorganic salts. Photoacid yields and relative rates of deprotection will be reported for metal salts that can be incorporated into polymer films at concentrations as high as 10 molal. In addition to comparing the relative performance at EUV we will also be characterizing the response at 248 nm and 100 KeV e beam. The results of these studies will be discussed in terms of the metal ion crossection, ionization potential and redox potential. In addition we will describe some unanticipated EUV reactivity of standard acid indicators that may impact the accepted electron yield/photospeed measurements that have been reported for EUV CA resists.
Initial readiness of extreme ultraviolet (EUV) patterning has been demonstrated at the 7-nm device node with the focus now shifting to driving the “effective” k1 factor and enabling the second generation of EUV patterning. In current EUV lithography, photoresist thicknesses <30 nm are required to meet resolution targets and mitigate pattern collapse. Etch budgets necessitate the reduction of underlayer thickness as well. Typical spin-on underlayers show high defectivity when reducing thickness to match thinner resist. Inorganic deposited underlayers are lower in defectivity and can potentially enable ultrathin EUV patterning stacks. However, poor resist-inorganic underlayer adhesion severely limits their use. Existing adhesion promotion techniques are found to be either ineffective or negatively affect the etch budget. Using a grafted polymer brush adhesion layer, we demonstrate an ultrathin EUV patterning stack comprised of inorganic underlayer, polymer brush, and resist. We show printing of sub-36-nm pitch features with a good lithography process window and low defectivity on various inorganic substrates, with significant improvement over existing adhesion promotion techniques. We systematically study the effect of brush composition, molecular weight, and deposition time/temperature to optimize grafting and adhesion. We also show process feasibility and extendibility through pattern transfer from the resist into typical back end stacks.
With the increasing prevalence of complex device integration schemes, trilayer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination and are limited in their ability to scale down thickness without compromising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of plasma-enhanced atomic layer deposited (PEALD) TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a trilayer scheme patterned with PEALD-based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited versus a spin-on metal hardmask.
The progress of three potential DSA applications, i.e. fin formation, via shrink, and pillars, were reviewed in this paper. For fin application, in addition to pattern quality, other important considerations such as customization and design flexibility were discussed. An electrical viachain study verified the DSA rectification effect on CD distribution by showing a tighter current distribution compared to that derived from the guiding pattern direct transfer without using DSA. Finally, a structural demonstration of pillar formation highlights the importance of pattern transfer in retaining both the CD and local CDU improvement from DSA. The learning from these three case studies can provide perspectives that may not have been considered thoroughly in the past. By including more important elements during DSA process development, the DSA maturity can be further advanced and move DSA closer to HVM adoption.
Initial readiness of EUV patterning has been demonstrated at the 7-nm device node with the focus now shifting to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. In current EUV lithography, photoresist thicknesses <30 nm are required to meet resolution targets and mitigate pattern collapse. Etch budgets necessitate the reduction of underlayer thickness as well. Typical spin-on underlayers show high defectivity when reducing thickness to match thinner resist. Inorganic deposited underlayers are lower in defectivity and can potentially enable ultrathin EUV patterning stacks. However, poor resist-inorganic underlayer adhesion severely limits their use. Existing adhesion promotion techniques are found to be either ineffective or negatively affect the etch budget. Here, using a grafted polymer brush adhesion layer we demonstrate an ultrathin EUV patterning stack comprised of inorganic underlayer, polymer brush and resist. We show printing of sub-36 nm pitch features with good lithography process window and low defectivity on various inorganic substrates, with significant improvement over existing adhesion promotion techniques. We systematically study the effect of brush composition, molecular weight and deposition time/temperature to optimize grafting and adhesion. We also show process feasibility and extendibility through pattern transfer from the resist into typical back end stacks.
Several 27nm-pitch directed self-assembly (DSA) processes targeting fin formation for FinFET device fabrication are studied in a 300mm pilot line environment, including chemoepitaxy for a conventional Fin arrays, graphoepitaxy for a customization approach and a hybrid approach for self-aligned Fin cut. The trade-off between each DSA flow is discussed in terms of placement error, Fin CD/profile uniformity, and restricted design. Challenges in pattern transfer are observed and process optimization are discussed. Finally, silicon Fins with 100nm depth and on-target CD using different DSA options with either lithographic or self-aligned customization approach are demonstrated.
KEYWORDS: Picosecond phenomena, Polymethylmethacrylate, System on a chip, Scanning electron microscopy, Image segmentation, Photomasks, Etching, Electron beam lithography, Composites, Directed self assembly
Diminishing error tolerance renders the customization of patterns created through directed self-assembly (DSA) extremely challenging at tighter pitch. A self-aligned customization scheme can be achieved using a hybrid prepattern comprising both organic and inorganic regions that serves as a guiding prepattern to direct the self-assembly of the block copolymers as well as a cut mask pattern for the DSA arrays aligned to it. In this paper, chemoepitaxy-based self-aligned customization is demonstrated using two types of organic-inorganic prepatterns. CHEETAH prepattern for “CHemoepitaxy Etch Trim using a self-Aligned Hardmask” of preferential hydrogen silsesquioxane (HSQ, inorganic resist), non-preferential organic underlayer is fabricated using electron beam lithography. Customized trench or hole arrays can be achieved through co-transfer of DSA-formed arrays and CHEETAH prepattern. Herein, we also introduce a tone-reversed version called reverse-CHEETAH (or rCHEETAH) in which customized line segments can be achieved through co-transfer of DSA-formed arrays formed on a prepattern wherein the inorganic HSQ regions are nonpreferential and the organic regions are PMMA preferential. Examples of two-dimensional self-aligned customization including 25nm pitch fin structures and an 8-bar “IBM” illustrate the versatility of this customization scheme using rCHEETAH.
The use of organic solvents in the development of chemically amplified (CA) resists has been known since the
introduction of DUV lithography into manufacturing over twenty years ago [1,2]. In this approach a negative tone image
is produced using an aqueous base developable positive tone resist developed in an organic solvent. Recently there has
been an increased interest in negative tone imaging due to superior performance for specific masking levels such as
narrow trenches and contact holes [3].
Negative tone imaging of this type is based on differences in the polarity between the exposed and unexposed regions of
the resist film. The dissolution contrast can be optimized by selecting a solvent with the proper match of solubility
parameters (polarity, hydrogen bonding and dispersion) to attain good solubility of the relatively nonpolar unexposed
resist and poor solubility of the deprotected acidic exposed film. Another approach is to tune the properties of the resist
polymer for a given solvent, creating a new optimized resist. We have explored a third methodology to achieve a high
contrast solvent developable system without a need to modify resist or solvent. In this report we describe a process that
exploits the differences in solubility between ionic and organic materials. In this method an ionic species is introduced
into the resist film following post-exposure bake to alter the polarity in such way that the resist contrast can be improved
in organic solvent development. We describe processes using pre-rinses and developers containing salts. Lithographic
response, characterized using contrast curves and imaging, is presented for a variety of resist platforms. We show
evidence for ionic incorporation into the resist film using SIMS, XPS, QCM and FTIR characterization. We demonstrate
the practical applicability of this method to 248nm, 193nm, e-beam and EUV exposures.
Line Edge Roughness (LER) continues to be a serious problem for high resolution 193 nm (ArF), E-beam and EUV resists despite years of research. Changes to the resist formulations, the use of low molecular weight (MW) materials, such as molecular glass resists, and special developers have all been used in attempts to minimize LER. In addition, much recent work has focused on post development processes such as rinses, special coatings and thermal treatments to reduce roughness. However there remains a lack of understanding of the origins of LER. Recently researchers have described interesting results based on the use of in situ high speed AFM to characterize LER during development.1 In this report we describe a complementary technique wherein the evolution of the roughness of the resist line is measured at different times during the development process. This is accomplished by using a specially designed flow cell 2-5 to control the developer contact time for a series of identically patterned fields and measuring the partially developed patterns with scanning electron microscopy (SEM). We will describe the results for different resist chemistries at 248 nm (KrF). In one aspect of this study, we examine resists that have been patterned at different aerial image contrast (AIC) to systematically probe its effect on LER 6 for a given resist. We intend to extend this work to different exposure systems including 193 nm, EUV and electron-beam.
Extreme ultraviolet (EUV) mask fabrication faces many unique challenges, including more stringent line edge roughness
(LER) requirements. EUV mask absorber LER will need to be reduced to reliably meet the 2013 International Roadmap
for Semiconductors line width roughness target of 3.3 nm. This paper will focus on evaluating resists modified and
deployed specifically to reduce LER on EUV masks. Masks will be built, and the final mask absorber LER reported
considering multiple imaging and analysis techniques. An assessment of best methods for mask LER analysis will be
provided and used to judge resist performance.
One of the most promising resist design strategies for the development of high resolution materials for EUV
lithography is the PAG anion-bound polymer approach. We have published several reports in the past
few years on the structure/property relationships of anion bound PAG resist polymers. This paper will
focus on relative performance of novel bound PAG polymers in EUV and electron beam lithographies. We
will analyze the performance characteristics of a series of well characterized bound PAG resist polymers
using several polymerizable PAG monomers. Due to the limited access to EUV exposure tools, we
analyzed the initial lithographic performance with electron beam lithography for improved cycles of
learning. We have found several examples of poor correlation between EUV and e-beam (EB) lithography
results. We will offer rational for the difference in performance, with the goal of improved insight into both
EB and EUV resist design.
Directed self-assembly is an emerging technology that to-date has been primarily driven by research efforts in university
and corporate laboratory environments. Through these environments, we have seen many promising demonstrations of
forming self-assembled structures with small half pitch (<15 nm), registration control, and various device-oriented
shapes. Now, the attention turns to integrating these capabilities into a 300mm pilot fab, which can study directed selfassembly
in the context of a semiconductor fabrication environment and equipment set. The primary aim of this study is
to create a 300mm baseline process of record using a 12nm half-pitch PS-b-PMMA lamellae block copolymer in order to
establish an initial measurement of the defect density due to inherent polymer phase separation defects such as
dislocations and disclinations.
We have developed a new silicon-containing resist for 193-nm immersion lithography. This resist is compatible with
topcoats used in the industry today for immersion lithography. Most of the current topcoats contain 4-methyl-2-
pentanol as a solvent. Our evaluations indicated that the previously developed silicon-containing resists are not
compatible with the current topcoats because of their solubility in 4-methyl-2-pentanol. In the new resist polymers,
we have incorporated high percentage (> 60 mol%) of lactone monomers to prevent them from dissolving in this
solvent. In order to increase the lactone content in a silicon polymer, we have incorporated lactone containing acidlabile
functionalities in addition to widely used acid-inert lactone monomers. Utilizing these polymers, we have
demonstrated a functional silicon-containing photoresist for immersion lithography.
Determination of both the identity and quantity of species desorbing from photoresists during exposure at any
wavelength - 248nm, 193nm and EUV - has proved to be very challenging, adding considerable uncertainty to the
evaluation of risks posed by specific photoresists to exposure tool optics. Measurements using a variety of techniques for
gas detection and solid film analysis have been reported but analytical results have not in general been easy to compare
or even in apparent agreement, in part due to difficulties in establishing absolute calibrations. In this work we describe
two measurement methods that can be used for any exposure wavelength, and show that they provide self-consistent
quantitative outgassing data for 2 all-organic and 2 Si-containing 193 nm resists. The first method, based upon gas
collection, uses two primary chromatographic techniques. Organic products containing C, S and Si are determined by
collection of vapors emitted during exposure in a cold trap and analysis by Gas Chromatography-Flame Ionization
Detector-Pulsed Flame Photometric Detector-Mass Spectrometry (GC-FID-PFPD-MS). Inorganic products such as SO2
are identified by adsorbent bed with analysis by Gas Particle-Ion Chromatography (GP-IC). The calibration procedure
used provides reasonable accuracy without exhaustive effort. The second method analyzes the elemental concentrations
in resist films before and after exposure by secondary ion mass spectrometry technique (SIMS), which requires only
knowledge of the resist compositions to be quantitative. The extent of outgassing of C and S determined by the two
methods is in good agreement for all 4 resists, especially when taking their fundamentally different characters into
account. Overall, the gas collection techniques yielded systematically lower outgassing numbers than did SIMS, and the
origins of the spread in values, which likely bracket the true values, as well as detection limits will be discussed. The
data for Si were found to differ significantly, however, and we show that the discrepancy is due to photo-induced
reactions at the polymer surface with the gas atmosphere present above the resist during exposure. For example,
photolytic oxidation of the C-Si bonds in air causes volatile Si-containing products to be formed from an otherwise stable
polymer, showing it is important to take the gas environment during exposure into account when designing resist
polymers for low Si outgassing.
We report here, new non-acetal containing low bake (PEB < 100° C ) resists that are suitable for immersion
lithography. These resists are based on novel low activation energy (low-Ea) tertiary ester protecting
groups. One major obstacle to imaging in the sub-50 nm regime using chemically amplified resists is the
diminished image integrity in the pattern ("image blur") due to photo-generated acid diffusion into
unexposed regions. Low processing temperatures are predicted to decrease the degree of photoacid
diffusion and, in turn, decrease the image blur. Even though many low bake resist compositions have
previously been reported, they are all based on acetal/ketal protecting groups. Unfortunately, these
materials require a stoichiometric amount of water for the photoacid-catalyzed deprotection reaction to
proceed. It is usually assumed that the water for the reaction comes from the environment in the bake
station. However, fluctuations in humidity could affect the performance of the resist. Furthermore,
acetal/ketal-based resists generally lack storage stability. For these reasons, acetal/ketal-based resists did
not receive widespread acceptance in the lithography community. With the introduction of water based
immersion lithography, acetal/ketal-based resists are expected to have further performance difficulties.
Therefore, we targeted the development of new "low blur" resists for 193nm lithography that do not
contain acetal/ketal protecting groups.
Lactones are almost ubiquitously employed in 193 nm resists to increase the polarity of hydrophobic alicyclic polymers.
What else do lactones do in 193 nm resists? We studied the behavior of methacrylate (MA) resists consisting of
different protecting groups, hexafluoroalcohols, and norbornane lactone methacrylate (NLM, 2-oxo-3-oxatricyclo[4.2.1.04,8]nonan-5-yl methacrylate). When the protecting group is large [ethylcyclooctyl (ECO) and
methyladamantyl (MAd)], thinning of the resist film that occurs in highly exposed areas upon postexposure bake (PEB)
is significantly smaller than what is expected from the polymer composition. When the concentration of
isopropylhexafluoroalcohol methacrylate (iPrHFAMA) is increased in the ECOMA-NLM polymer, the thinning
increases and reaches 100% of theory and the ECOMA-norbornenehexafluoroalcohol methacrylate (NBHFAMA) resist
loses quantitative thickness in highly-exposed areas upon PEB at 90 °C. This indicates that small lactones which are
more basic than esters can trap deprotection fragments especially when the protecting group is large. Such entrapment
was detected by IR spectroscopy and also observed at temperatures as high as 200 °C in thermogravimetric analysis
(TGA). Incorporation of lactone appears to decrease the bake temperature sensitivity and the sensitivity of the resist
perhaps due to trapping of photochemically generated acids by basic lactone. The lactone ring can be hydrolyzed
during aqueous base development but does not seem to affect the dissolution rate, indicating that hydrolysis occurs in
aqueous base solution after dissolution. Poly(methacrylic acid-NLM) dissolves as fast as poly(methacrylic acid) in 0.26
N tetramethylammonium hydroxide (TMAH) aqueous solution. While exposed P(ECOMA47-NLM53) resist dissolves
in 0.26 N developer at about the same rate as authentically prepared poly(methacrylic acid47-NLM53), the dissolution
rate of highly-exposed P(MAdMA44-NLM56) resist is much slower, indicating that the deprotection fragment from the
former does not interfere with the development but that from the latter does. When the NLM concentration is
increased to 75 %, highly exposed P(ECOMA-NLM) resist dissolves slowly at ca. 600 A/sec and swells significantly,
indicating that NLM can be a dissolution inhibitor and swelling enhancer when its concentration is high. Low
activation energy protecting groups such as ethylcyclooctyl allows imaging at temperatures as low as 60 °C. However,
the temperature dependence of the dose to clear is very large and the chemical contrast is quite small in the low
temperature range. Thus, for PEB temperature stability and contrast enhancement, baking 20-30 °C above the lowest
practical temperature is recommended.
In this paper, we employ the self-segregating materials approach used in topcoat-free resists for water immersion lithography to extend the performance of topcoat materials for water immersion and to increase the contact angles of organic fluids on topcoat-free resists for high index immersion lithography. By tailoring polymers that segregate to the air and resist interfaces of the topcoat, high contact angle topcoats with relatively low fluorine content are achieved. While graded topcoats may extend the performance and/or reduce the cost of topcoat materials, the large amount of unprotected acidic groups necessary for TMAH development prevent them from achieving the high contact angles and low hysteresis exhibited by topcoat-free resists. Another application of this self-segregating approach is tailoring resist surfaces for high index immersion. Due to the low surface tension and higher viscosities of organic fluids relative to water and their lower contact angles on most surfaces, film pulling cannot be prevented without dramatically reducing wafer scan rates; however, tuning the surface energy of the resist may be important to control stain morphology and facilitate fluid removal from the wafer. By tailoring fluoropolymer additives for high contact angles with second generation organic high index immersion fluids, we show herein that topcoat-free resists can be developed specifically for high index immersion lithography with good contact angles and lithographic imaging performance.
This paper will investigate the potential benefits and limitations of increasing the refractive index of the photoresist for water and high-index immersion based lithography. The primary potential benefits are increased exposure latitude due to restoration of the TM polarization component and improved depth of focus due to a delay in the onset of image-induced top-loss. After first understanding the physical origins of these effects, a series of simulation studies will probe the level of impact they may have for the 32nm and 22nm technology nodes. It is concluded that, although they may provide some process latitude relief, the benefits are minimal for 1.35NA water immersion, especially when weighed against the likely required development effort and cost. The benefits are slightly more compelling for high-index immersion (>1.5 NA), but a high index resist does not appear to be critical, provided the resist is at least as large as the immersion fluid index. A comparable benefit can be achieved with a conventional resist by using polarized illumination (a trend already happening for various reasons) and thinning the resist by ~9% for 1.35NA water immersion and ~15% for 1.55NA high-index immersion. Additionally, increasing the refractive index is typically accompanied by a corresponding increase in absorption. This will be addressed, concluding the limitations of absorption are likely chemical and not optical in nature. High absorption is likely tolerable, provided the chemistry can be engineered to account for exponential intensity decay. The level of difficulty in doing so is addressed.
UV-curable nanoimprint resist characteristics and performance are key to controlling resist-related defects formed during
template removal due to cohesive failure and strong resist-template adhesion. The debonding process is governed by
both the chemical bonds that form between the template and the resist during cure, and by the structure of the resist itself
which determines its elastic-plastic response under load. To gain insight to contributions from resist composition to the
debonding process we examine the connection between mechanical and chemical properties of a family of methacrylate
polyfunctionalized polyhedral oligomeric silsesquioxane (mPSS) containing resists to their adhesion to fluoroalkyl silane
release layers. We also survey debonding of one of the mPSS formulations, an acrylate formulation and a vinyl ether
formulation from as series of metal oxide and metal nitride release layers. The results show that while intrinsic storage
modulus of a cured material is important, interfacial segregation of reactants in fluid resists can influence adhesive
properties as well. The metal-containing release layers are shown to have generally much lower adhesion to cured resists
than does a fluoroalkyl silane release layer. They present a useful alternative for template release treatments.
Immersion lithography has placed a number of additional performance criteria on already stressed resist materials.
Much work over the past few years has shown that controlling the water-resist interface is critical to enabling high scan
rates (i.e. throughput) while minimizing film pulling and PAG extraction (i.e. defectivity). Protective topcoat polymers
were developed to control the aforementioned interfacial properties and emerged as key enablers of 193 nm immersion
lithography. Achieving the delicate balance between the low surface energies required for high water contact angles
(generally achieved via the incorporation of fluorinated groups) and the base solubility required for topcoat removal is
challenging. More recently, additional strategies using fluoropolymer materials to control the water-resist interface have
been developed to afford topcoat-free resist systems. In our explorations of fluoroalcohol-based topcoat materials, we
have discovered a number of structure-property relationships of which advantage can be taken to tailor the interfacial
properties of these fluorinated materials. This paper will address the effect of structure on immersion specific properties
such as water contact angle, aqueous base contact angle, and dissolution rate.
UV curable resist formulations for nanoimprint must satisfy criteria for cure rate, volatility, viscosity, cohesion of the
cured material and release from the template in addition to being successfully imprintable. We describe an investigation
of the properties of a series of formulations comprising polyhedral oligomeric silsesquioxane and selected diluents as
candidates for imprintable dielectrics. Although all have low viscosity and volatility and are successfully imprinted,
significant variations in cure rate, mechanical and adhesion properties with resist composition are found. The trends
observed are not all predictable from the literature, indicating that formulation optimization for this application requires a
focus on the fundamentals of both materials and processes.
Exposure of photoresists to ultraviolet light results in outgassing of species that have the potential to contaminate surrounding optical surfaces. Of particular concern are silicon-containing products which cannot be cleaned and permanently detune optical coatings. Collection and identification of those species and quantification of the amounts formed is a difficult analytical problem because of the number and variety of products. We describe a general methodology for determining acidolytic decomposition pathways and absolute elemental composition changes induced in photoresists during exposure. Two silicon-containing 193 nm resists that differ in the mode of attachment of trimethylsilyl to the polymer have been investigated. Elemental abundances are measured in post-apply baked, exposed and post-expose baked films by secondary ion mass spectrometry (SIMS), thus probing volatile product formation from all photochemical and thermal decomposition pathways. Complementary data on primary thermal acidolytic deprotection pathways during post-exposure bake are obtained by mass spectrometry, enabling SIMS elemental abundance changes to be interpreted. The results show that decomposition of both the polymer protecting groups through room temperature acidolysis and the photoacid generator by photolysis lead to volatile product formation during exposure. Silicon bound through oxygen is acid labile while silicon bound through carbon is not, resulting in very low to no silicon outgassing from the latter polymer. Sulfur-containing products formed from PAGs outgas in significant amounts from the photoresists investigated, supporting recent mass spectrometric observations of sulfur outgassing by R. Kunz and coworkers.
Polyhedral Oligomeric Silsesquioxane (POSS) derivatives have been investigated as potential candidates for high resolution resists. POSS materials are cage compounds with defined mono-disperse molecular weights. These materials are attractive candidates for molecular resist development because of their commercial availability and the ease with which they can be derivatized. These resists are more suited for bilayer resist applications because of their high silicon content. We have developed positive bilayer resists suitable for 193-nm and other emerging lithographic applications.
Polysilsesquioxane-based 193 nm positive bilayer resists are described. In this design Si for etch resistance is placed in every repeat unit and acid-labile protected and acidic groups (and polar units) are in the side chain, allowing to incorporate each lithographically critical functionality in sufficient quantity. Fluoroalcohol is employed as an acid group instead of carboxylic acid because of its more attractive dissolution properties. Polymers were carefully analyzed by 19F, 13C, and 29Si NMR to determine composition and to quantify residual acetyl, silanol, and Q/T. Hydrogen-bonding between tertiary ester and fluoroalcohol in the polysilsesquioxanes was investigated by FT-IR and the effect of lactone incorporation on the thermal deprotection temperature elucidated. In order to better understand the dissolution behavior of exposed resist films, the silsesquioxane resist polymers were partially (ca. 30%) and fully deprotected in solution with acid and their dissolution kinetics investigated by using a quartz crystal microbalance (QCM). It has been found that the exposed areas of the silsesquioxane resists can have a very fast dissolution rate (Rmax) of >20,000 A/sec (or even >100,000 A/sec). Heating the fully deprotected model polymers to 150°C did not reduce the dissolution rate much, suggesting thermal condensation of silanol end groups is insignificant. Model deprotected polymers containing triphenylsulfonium nonaflate were exposed to 254 nm radiation, baked, and subjected to QCM measurements in order to determine whether or not acid-catalyzed silanol condensation would reduce the dissolution rate. A combination of high dose and high temperature bake resulted in significant reduction of the dissolution rate in the silsesquioxane polymer containing a small trifluoroalcohol. However, the dissolution behavior of the polymer bearing a bulky norbornene hexafluoroalcohol was unaffected by exposure and bake. Chemical and development contrast curves were generated by using a temperature gradient plate and bake temperature effects investigated. While a postapply bake temperature variation from 95 to 130°C did not affect the contrast (chemical and development) and sensitivity, a postexposure bake temperature effect was quite small. The process window of the silsesquioxane bilayer resists was comparable to that of a high performance commercial 193 nm single layer resist for both isolated and nested contact hole imaging, Superior performance of our silsesquioxane resist was demonstrated in patterned etch in comparison with a COMA-based bilayer resist.
The introduction of an immersion fluid in contact with the resist-coated substrate is, from the perspective of the resist material, a significant departure from the conventional lithographic process. The impact of this modification on the lithographic imaging materials is as yet only poorly characterized. We report the results of studies aimed at an improved understanding of how immersion in water during exposure influences the functional properties of films of lithographic materials. We have evaluated the water permeability of candidate immersion topcoat materials; the role of immersion topcoat materials in reducing airborne contamination and water-resist interactions; the impact of water immersion on image blur in chemically amplified resists; and high-resolution imaging of candidate immersion resist materials under conditions of poor aerial image contrast. Analytical techniques such as QCM and reflectance analysis of thin films, DUV interferometric immersion lithography, and trace organic analysis are applied in this work.
In an effort to design our next generation resist materials for sub-100nm lithography, we have introduced the hexafluoroisopropanol (HFA) functionality into the cyclic olefin (CO) polymer structure. It is found that the fluorine-containing HFA group not only helps reduce the 157nm optical density (O.D.) of the polymer and makes it suitable for 157nm application, but also dramatically improves the dissolution properties of the resulting CO polymer. Copolymers with a wide range (20-80%) of norbornene HFA (NBHFA) concentrations show little swelling behavior in aqueous base developer. The dissolution properties of the CO polymer could be further improved by combining the HFA and lactone structures in the CO polymers. This new version of CO polymers maintain a good etch resistance and excellent surface roughness after etch despite the fluorine content. Lithographic evaluation of resists based on these polymers (Cobra 5K) using a 193nm exposure tool (0.75 NA) reveals that Cobra 5K has a low post exposure bake (PEB) sensitivity (<0.5 nm/°C), good process window for both line/space (L/S) and contact holes patterns, and outstanding resolution capabilities especially for contacts application (<100nm).
Our primary platform for 157 nm positive resists is built on a copolymer of t-butyl 2-trifluoromethylacrylate (TBTFMA) and norbornene bearing hexafluoroisopropanol (NBHFA) as an acid group, which is prepared by radical copolymerization. The radical copolymerization of 2-trifluoromethylacrylic monomers with norbornene derivatives has been found through reactivity ratio determination and in situ 1H NMR analysis of kinetics to deviate from the terminal model but to follow the penultimate model. These copolymers typically contain >50 mol% TBTFMA, are lipophilic, and fail to provide good imaging due to poor wettability. Blending a homopolymer of NBHFA (optical density (OD)=1.7/micrometers at 157 nm) into the copolymers (OD=2.5-2.7/micrometers ) increases the hydrophilicity and reduces OD to 2.2-2.0/micrometers , providing high resolution images. Another platform we have identified is a copolymer of TBTFMA with vinyl ethers, which can be prepared by using a common radical initiator. Some of the vinyl ether copolymers are also homogeneously miscible with the NBHFA homopolymer and thus their OD and aqueous base development can be improved by blending.
As 193 nm resist moves into production with minimum feature sizes approaching 100nm, bilayer resist is being evaluated more closely for certain applications. Our polymer design has been evolving to meet tighter outgassing requirements. Optical density, etch resistance and dissolution behavior are other considerations. The protecting group used in our 248 nm bilayer is not useful for 193 nm lithography because of the high optical density contribution from Si-Si linkage. Silicon was incorporated into a COMA platform for the first generation polymer. Maleic anhydride is used to modulate dissolution characteristics. The first generation 193 nm bilayer was optimized to print 120 nm L/S patterns with an attenuated PSM on a 0.6 NA Nikon S302. We will describe next generation platforms that address silicon outgassing concern. The lithographic performance of these resists was evaluated on a 0.6 NA Nikon S302 with a dark field mask. Results for 280nm pitch (1:1 L/S) and 245 nm pitch (105 nm L, 140 nm S) lithography are presented. Also shown is result for a 245 nm pitch (1:1 L/S) and 210 nm pitch (1:1 L/S) on a 0.75 NA ASML PAS 5500/1100. Outgassing data generated at MIT Lincoln Laboratory will be discussed.
There is currently tremendous interest in developing 157nm photoresists for imaging applications at 100nm and below. Due to the high VUV absorbance of the polymers used in 248 and 193 photoresists new materials are being investigated for applications at 157nm. In this report the characterization of a number of partially fluorinated polymers based on aromatic backbones will be described. Data on the absorbance, dissolution properties, solvent retention and acid diffusion characteristics of these systems will be presented.
The objective of this report will be to clarify the maturity of the current 193 SLR materials. We are going to report on all major platform chemistries, i.e.,(meth) acrylate system, ROMP system, cyclic olefin addition system, cyclic olefin/maleic anhydride system, vinyl ether/maleic anhydride system, and cyclyzed system at the same time. We are going to discuss maturity of each platform from several viewpoints such as polymerization process, physical properties of the resins, lithographic performances of the resists, and process latitude of the resists including etch performances. We are also referring to several critical issues such as etch resistance, surface roughness after etch, line slimming, etc. Three major platform chemistries, (meth)acrylate, COMA, and addition, are selected in order to cover the whole spectra of layer requirements. Those three systems respectively show characteristics lithographic performances.
193nm lithography will be the future technology for sub- 150nm resolution. As the dimensions get smaller, resist thickness is also needed to be reduced for better resolution and wider process window. Single layer 193nm resist, with thickness of less than 500nm, may not be able to satisfy some of the substrate etch requirement. With bilayer resist scheme, the thin resist offers the advantages of high resolution and good process window. The thick underlayer provides the etch resistance required for substrate etching. IBM has developed a silane substituted alternating copolymer based 193nm bilayer resist system and demonstrates sub-120nm resolution using Nikon 0.6NA stepper with Chrome on Glass (COG) mask. Lithographic performance and formulation optimizations of this 193nm bilayer resist as well as underlayer evaluation and some etch study will be discussed.
Based on UV measurements at 157nm of in-house fluoropolymers we have selected (alpha) -trifluoromethylacrylate and norbornene bearing a pendant hexafluoroisopropanol group as our building blocks for 157nm resist polymers. Polymers consisting of these repeat units have an optical density/micrometers of 3 or below at 157nm. We have found that the (alpha) -trifluoromethylacrylate derivatives conveniently undergo radical copolymerization with norornenes, which has provided a breakthrough in preparation of our 157nm resist polymers. This approach offers flexibility and versatility because an acidic moiety or acid-labile group can be placed in either acrylate or norbornene repeat unit. Other platforms of interest include all acrylic, all-norbornene, and acrylic-styrenic polymers.
Polycycloolefins prepared by addition polymerization of norbornene derivatives are quite different from hydroxystyrene-based polymers in terms of their interaction with aqueous base. Their dissolution kinetics monitored on a quartz crystal microbalance is not a smooth function of the ratio of the polar to nonpolar functionalities in polymer but abruptly changes from very fast dissolution to massive swelling within a narrow range of composition. The maximum swelling is a function of thickness and the entire film thickness can swell in a few seconds at > 3,000 angstroms/sec or at immeasurably fast rates. The initial concentration of a pendant carboxylic acid in polymer has to be selected to minimize swelling and the concentration of an acid-labile group to induce fast dissolution in the exposed area. Furthermore, swelling which occurs in the partially- exposed regions must be minimized by incorporating a third monomer unit or by adding a dissolution modifying agent (DMA) such as t-butyl cholate. However, the function of DMA which is also acid-labile is quite complex; depending on the matrix polymer composition and its dissolution/swelling behavior, DMA could function as a swelling suppressor or promoter and a carboxylic acid generated by acidolysis of DMA as a dissolution or swelling promoter. Photochemically generated sulfonic acid could also affect the dissolution/swelling behavior. Base hydrolysis of anhydride during development is controlled by the polarity (carboxylic acid concentration) in polymer film, which has been demonstrated in an unequivocal fashion by IR spectroscopy under the condition strongly mimicking the development process and thus could boost development contrast but could hurt performance as well. Thus, incorporation of carboxylic acid in the form of methacrylic acid, for example, in radical copolymerization of norbornene with maleic anhydride must be handled carefully as it would increase the susceptibility of the anhydride hydrolysis and could introduce heterogeneity in the polymer as methacrylic acid is rapidly consumed, producing a terpolymer containing a different molar concentration of norbornene and maleic anhydride (a proof against the commonly believed charge transfer polymerization mechanism).
In this paper, we have shown the progress we have made in improving reactive-ion-etch stability and lithographic performance of IBM 193 nm resist materials. Using selectively functionalized cyclicolefins, we have developed 193 nm resists with etch stability and post-etch surface roughness comparable to those of the matured, state-of-the-art DUV resists. Furthermore, we have also demonstrated dramatically improvement in dense line (100 nm 1:1 L/S) and semi-dense line (< 100 nm 1:2, 1:3 L/S) resolution using resolution enhancement techniques such as alternate phase shift mask.
Understanding and control of molecular weight-dependent properties of 193 nm photoresist polymers has received only limited attention to date. Many physical and thermal properties of polymers in general and novolak- and poly(hydroxystyrene)-based systems in particular are molecular weight dependent. Since these properties markedly influence photoresist behavior, a more detailed understanding of molecular weight effects in 193 nm polymers would be valuable. In this communication, we present a survey of some of the interplays between molecular weight, thermal properties, dissolution behavior, and lithographic performance of methacrylate-based 193 nm polymers. More specifically, this presentation comprises a discussion of discontinuities in thermal properties surrounding the polymer critical entanglement molecular weight in methacrylate 193 nm polymers including the IBM Version 2 and Version 3 platforms. Tg vs. molecular weight curves for both platforms are in good agreement with predictions of the Gibbs-DiMarzio model and reveal that Mcrit is ca. 8,000 for these systems. Lithographic characterization at, below, and above this molecular weight reveals failure due to fraying and line collapse at low molecular weight, failure due to excessive bottom scumming at high molecular weight, and best performance at or near Mcrit.
In previous work, we have discussed the issue of real-time control, which is important for the effective application of massively parallel architectures in real-time, or near real-time, signal processing. We first briefly review our work on how to incorporate adaptive programming decisions in systems accommodating massive data parallelism without losing machine efficiency. This involves re-examination of functions to be performed by the software versus those performed by the hardware. Our discussion is based on a Multiple Instruction Multiple Data (MIMD) controller that we have constructed for a Geometric Single Instruction Single Data (GSIMD) array. We then address two more issues facing a GSIMD architecture for its efficient use, especially in vision applications. These are: how to treat the overlap in small neighborhood operations when a large image array is segmented for processing on a smaller GSIMD array, and how to transmit small amounts of information between the array processor and external machines for adaptive signal processing. We describe the architecture of our hardware solutions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.