On-product overlay requirements are becoming more challenging with every next technology node due to the continued decrease of the device dimensions and process tolerances. Therefore, current and future technology nodes require demanding metrology capabilities such as target designs that are robust towards process variations and high overlay measurement density (e.g. for higher order process corrections) to enable advanced process control solutions. The impact of advanced control solutions based on YieldStar overlay data is being presented in this paper. Multi patterning techniques are applied for critical layers and leading to additional overlay measurement demands. The use of 1D process steps results in the need of overlay measurements relative to more than one layer. Dealing with the increased number of overlay measurements while keeping the high measurement density and metrology accuracy at the same time presents a challenge for high volume manufacturing (HVM). These challenges are addressed by the capability to measure multi-layer targets with the recently introduced YieldStar metrology tool, YS350. On-product overlay results of such multi-layers and standard targets are presented including measurement stability performance.
In order to meet current and future node overlay, CD and focus requirements, metrology and process control performance need to be continuously improved. In addition, more complex lithography techniques, such as double patterning, advanced device designs, such as FinFET, as well as advanced materials like hardmasks, pose new challenges for metrology and process control. In this publication several systematic steps are taken to face these challenges.
A new method for indicating the image quality of overlay measurement is proposed in this paper. Due to the constraint
of the overlay control tolerance, the overlay metrology requirement has become very stringent. Current indicators such as
the total measurement uncertainty (TMU) are insufficient to guarantee a good overlay measurement. This paper
describes two quality indicators, the contrast index (CI) and the asymmetry index (AI). The CI is a crucial quality
indicator that affects the overlay accuracy greatly. The AI, based on an imaging process with modified cross-correlation
operation, shows alignment mark robustness in both the x and the y directions. For determination of the best recipe, the
box-in-box overlay marks are measured to obtain the images with different conditions. The conventional TMU
indicators are used first to sieve out the better choices. Then the CI and AI can help to judge whether the overlay results
are reliable and can be applied to monitoring of process variations.
Reducing the size of metrology targets is essential for in-die overlay metrology in advanced semiconductor
manufacturing. In this paper, μ-diffraction-based overlay (μDBO) measurements with a YieldStar metrology tool are
presented for target-sizes down to 10 × 10 μm2. The μDBO technology enables selection of only the diffraction
efficiency information from the grating by efficiently separating it from product structure reflections. Therefore, μDBO
targets -even when located adjacent to product environment- give excellent correlation with 40 × 160 μm2 reference
targets. Although significantly smaller than standard scribe-line targets, they can achieve total-measurement-uncertainty
values of below 0.5 nm on a wide range of product layers. This shows that the new μDBO technique allows for accurate
metrology on ultra small in-die targets, while retaining the excellent TMU performance of diffraction-based overlay
metrology.
There is no overlay standard in the world. For critical dimension (CD), we may use the VLSI standard or programmed
pitch offsets to determine the CD accuracy or CD sensitivity. Programmed overlay offsets can provide relatively accurate
sub-nanometer level overlay splits but it is only on a single layer and does not contain layer-to-layer process variations.
The splits of scanner magnification can check the trend of overlay sensitivity but it cannot provide the exact value of
overlay offsets. Transmission electron microscopes (TEM) can be used as a final overly error verification tool. However,
TEM sample preparation for after-development-inspection (ADI) will introduce even more sample distortion errors.
Therefore, unlike CD metrology, there is no clean and systematic way to verify the accuracy of overlay metrology. These
technical barriers necessitate matching diffraction-based overlay and image-based overlay, especially for sub-nanometer
point-to-point matching requirement.
In this paper, we compare the correlation of ADI to after-etch-inspection (AEI) by using image-based box-in-box overlay
measurement and diffraction-based overlay measurement on the same wafer. The ADI-to-AEI overlay data consistency
plays a key role for lithography overlay APC success and AEI overlay should be treated as the final standard for overlay
accuracy. We found that process-induced asymmetric profiles of overlay marks will lead to ADI-to-AEI overlay bias.
This bias is proportional to the degree of profile asymmetry and different color/wavelength have different sensitivity to
this ADI-to-AEI bias.
Our experimental results show that the ADI-to-AEI overlay data bias can indeed be significantly improved by selecting
the color/wavelength with minimum sensitivity to the asymmetry profile. These results make us believe that overlay
metrology recipe setup is quite critical no matter for image-based overlay or diffraction-based overlay. Otherwise,
problematic overlay data will be taken into APC feedback loop and lead to wrong overlay correction.
In keeping up with the tightening overall budget in lithography, metrology requirements have reached a deep subnanometer
level [1]. This drives the need for clean metrology (resolution and precision). Results have been
published of a thorough investigation of a scatterometry-based platform from ASML [7], showing promising
results on resolution, precision, and tool matching for overlay, CD and focus [2 - 6].
But overall requirements are so extreme that all measures must be taken in order to meet them. In light of this, in
addition to above-mentioned need for resolution and precision, the speed and sophistication in communication
between litho and metrology (feedback control) are also becoming increasingly crucial. An effective sampling
strategy for metrology plays a big role in order to achieve this.
This study discusses results from above mentioned scatterometry-based platform in light of sampling optimization.
For overlay, various sampling schemes (dense / sparse combinations as well as inter and intra field schemes) were
used on many production lots. The effectiveness of such sample schemes were studied to reveal an ideal sampling
scheme that can result in 0.5nm to 1nm gain in overlay control (compare to today's practice). Moreover, cycle time
contribution of metrology (at litho) in overall cycle time of a full process flow was investigated and quantified with
the concept of integrated metrology. Results indicate a cycle time reduction per layer (if an integrated concept is
used) of 3 to 5 hours, which can easily add up to several days of total cycle time reduction for a fab.
mmunication between lithography and metrology is becoming increasingly demanding in advanced nodes. This is where the requirements for metrology become extremely tight. This work is dedicated to the search for "clean" metrology that is required to address these requirements. Metrology measurements are obtained via an angle-resolved scatterometry-based platform (called YieldStar). Details of the technology behind YieldStar were thoroughly discussed by Vanoppen et al. in 2010. In this current work, measurement limits are challenged to test resolution and measurement uncertainty for overlay, critical dimension (CD), and sidewall angle (focus). Results indicate an atomic-scale performance of deep subnanometers. Two different sizes of scatterometry-based overlay targets are evaluated and compared using a technique called the similarity index. A CD reconstruction model is tested for cross talk of underlying thin-film layers, specifically the case where one of the underlying layers is anisotropic. A systematic approach is taken to increase the complexity of a CD reconstruction model in steps to evaluate the capability of handling birefringence effects of anisotropic material in the model. CD metrology data (1-D and 2-D/hole layers) are compared to CD scanning electron microscope data. Focus measurements are also extended for product wafers, and focus precision is evaluated. In addition, CD metrology monitor wafer applications, such as hotplate monitoring and overlay metrology monitor wafer application for scanner stability and matched machine overlay, are tested.
KEYWORDS: Overlay metrology, Metrology, Semiconducting wafers, Scanners, Back end of line, Lithography, 3D metrology, Finite element methods, Scatterometry, Critical dimension metrology
Advanced lithography is becoming increasingly demanding when speed and sophistication in communication
between litho and metrology (feedback control) are most crucial. Overall requirements are so extreme that all
measures must be taken in order to meet them. This is directly driving the metrology resolution, precision and
matching needs in to deep sub-nanometer level as well as driving the need for higher sampling (throughput).
Keeping the above in mind, a new scatterometry-based platform (called YieldStar) is under development at
ASML. Authors have already published results of a thorough investigation of this promising new metrology
technique which showed excellent results on resolution, precision and matching for overlay, as well as basic and
advanced capabilities for CD. In this technical presentation the authors will report the newest results taken from
YieldStar. This new work is divided in two sections: monitor wafer applications and product wafer applications.
Under the monitor wafer application: overlay, CD and focus applications will be discussed for scanner and track hotplate control. Under the product wafer application: first results from integrated metrology will be reported followed by poly layer and 3D CD reconstruction results from hole layers as well as overlay-results from small (30x60um), process-robust overlay targets are reported.
In 32nm/22nm advanced technology node, double patterning lithography is considered for semiconductor manufacturing.
It necessitates tightened requirement of overlay measurement, i.e. to measure the position of a pattern with respect to that
of a pattern in the underlying layer. The measurement target design plays a fundamental role in overlay precision and
accuracy. Typical alignment target, such as bar-in-bar or box-in-box (BIB), has precision, accuracy, and size restrictions.
This prompts us to look into better alignment targets. Recently, scatterometry-based metrology and profile model
capability have been extended to measure multi-level grating structures. In addition, scatterometry has been shown to be
the best choice for integrated metrology to perform wafer-to-wafer control. Therefore, it makes sense to consider using
scatterometry for overlay measurement.
In this research, the modeling analysis is performed on the spectra taken directly from a real pattern area with grating-ongrating
structure. The critical dimension (CD) at the grating on top and the lateral shift between the top and the bottom
gratings can be detected simultaneously. The lateral shift between the layers can be verified with the traditional overlay
box. Unlike the traditional BIB target that has micrometer CD size, the CD size of the scatterometry overlay (S_OVL)
target is much closer to that on the real device. So, it can much better reflect the overlay (OVL) shift on real devices. We
also studied the non-model-based S_OVL measurement using a 673-nm semiconductor laser with a 10μm x 20μm target
size, wafer-to-wafer control of CD and lateral shifts on some critical layers with grating-on-grating structure, as well as
the CD and OVL variations within layer and from layer to layer for double patterning.
KEYWORDS: Overlay metrology, Semiconducting wafers, Metrology, Scanners, Lithography, Back end of line, Metals, Scatterometry, Front end of line, Signal to noise ratio
Advanced lithography is becoming increasingly demanding when speed and sophistication in communication
between litho and metrology (feedback control) are most crucial. Overall requirements are so extreme that all
measures must be taken in order to meet them. This is directly driving the metrology resolution, precision and
matching needs in to deep sub-nanometer level [4].
Keeping the above in mind, a new scatterometry-based platform is under development at ASML. Authors have
already published results of a thorough investigation of this promising new metrology technique which showed
excellent results on resolution, precision and matching for overlay, as well as basic and advanced capabilities for
CD [1], [2], [3]. In this technical presentation the authors will report the newest results from this ASML platform.
This new work was divided in two sections: monitor wafer applications (scanner control - overlay, CD and focus)
and product wafer applications.
A brand new CD metrology technique that can address the need for accuracy, precision and speed in near future
lithography is probably one of the most challenging items. CDSEMs have served this need for a long time,
however, a change of or an addition to this traditional approach is inevitable as the increase in the need for better
precision (tight CDU budget) and speed (driven by the demand for increase in sampling) continues to drive the
need for advanced nodes.
The success of CD measurement with scatterometry remains in the capability to model the resist grating, such as,
CD and shape (side wall angle), as well as the under-lying layers (thickness and material property). Things are
relatively easier for the cases with isotropic under-lying layers (that consists of single refractive or absorption
indices). However, a real challenge to such a technique becomes evident when one or more of the under-lying
layers are anisotropic.
In this technical presentation the authors would like to evaluate such CD reconstruction technology, a new
scatterometry based platform under development at ASML, which can handle bi-refringent non-patterned layers
with uniaxial anisotropy in the underlying stack. In the RCWA code for the bi-refringent case, the elegant
formalism of the enhanced transmittance matrix can still be used. In this paper, measurement methods and data
will be discussed from several complex production stacks (layers). With inclusion of the bi-refringent modeling,
the in-plane and perpendicular n and k values can be treated as floating parameters for the bi-refringent layer, so
that very robust CD-reconstruction is achieved with low reconstruction residuals. As a function of position over
the wafer, significant variations of the perpendicular n and k values are observed, with a typical radial fingerprint
on the wafer, whereas the variations in the in-plane n and k values are seen to be considerably lower.
A new metrology technique is being evaluated to address the need for accuracy, precision, speed and sophistication in metrology in near-future lithography. Attention must be paid to these stringent requirements as the current metrology capabilities may not be sufficient to support these near future needs. Sub-nanometer requirements in accuracy and precision along with the demand for increase in sampling triggers the need for such evaluation.
This is a continuation of the work published at SPIE Asia conference, 2008. In this technical presentation the authors would like to continue on reporting the newest results from this evaluation of such technology, a new scatterometry based platform under development at ASML, which has the potential to support the future needs.
Extensive data collection and tests are ongoing for both CD and overlay. Previous data showed overlay performance on production layers [1] that meet 22 nm node requirements. The new data discussed in this presentation is from further investigation on more process robust overlay targets and smaller target designs. Initial
CD evaluation data is also discussed.
Need for accuracy, precision, speed and sophistication in metrology has increased tremendously over the past few
years. Lithography performance will increasingly depend on post patterning metrology and this dependency will
be heavily accelerated by technology shrinkage. These requirements will soon become so stringent that the
current metrology capabilities may not be sufficient to support these near future needs. Accuracy and precision
requirements approaching well into sub-nanometer range while the demand for increase in sampling also
continues, triggering the need for a new technology in this area.
In this technical presentation the authors would like to evaluate such technology that has the potential to support
the future needs. Extensive data collection and tests are ongoing for both CD and overlay. Data on first order
diffraction based overlay shows unprecedented measurement precision. The levels of precision are so low that for
evaluation special methods has been developed and tested. In this paper overlay measurement method and data
will be discussed, as well as applicability for future nodes and novel lithography techniques. CD data will be
reported in the future technical publications.
Average CD of CD SEM and scatterometry CD (OCD) have been adopted for advanced CD control. The advantages and
disadvantages of these two CD metrologies have been well discussed. The target of CD uniformity (CDU) for
advanced technology has been driven to 1 nm, i.e. about three and half the size of a silicon atom, which is 0.29 nm. In
the real production environment, engineers need to face sub-nanometer (< 1 nm) CD variations and do the necessary
process corrections to meet the 1-nm CDU requirement. In other words, advanced CD process control has already been
in the world of atomic scale. It turns out that methodology to ensure the accuracy of sub-nanometer CD has become
essential for advanced CD control.
In this paper, we introduced a methodology to produce 0.25, 0.5, and 1 nm programmed pitch offsets through mask
design. These offsets are attainable with current process capability. Pitch offsets instead of line/space width offsets were
used because the pitch is relatively process insensitive. The pitch has already been widely used as a CD SEM
magnification calibration standard, e.g. Hitachi m-scale 240-nm pitch and VLSI 100-nm pitch standards. We produced
large and small pitch splits to meet different magnification linearity requirements. We also used optical CD to verify the
programmed pitch offset. Using the raw spectrum of OCD, systematic pitch signal changes in 0.25-nm steps can be
detected, ensuring that 0.25-nm pitch offset standards are meaningful. Interestingly, 0.25 nm is smaller than the 0.29-nm
Si atom.
We also used this standard wafer to do the sampling size or data quality evaluation for different CD SEM measurement
methodologies, e.g. 150K by 150K or 80K by 35K magnifications that in turn dictates the sample size. Pitch sensitivity is
strongly related to the sampling size and line-edge roughness (LER). For example, 0.25-nm pitch sensitivity needs a
larger sampling size than those of 0.5-nm and 1- nm pitch sensitivities.
By means of this standard wafer, we can easily quantify metrology quality as well as choose the right metrology and
sampling size for advanced process control.
In this paper, one of the major contributions to the OCD metrology error, resulting from
within-wafer variation of the refractive index/extinction coefficient (n/k) of the substrate, is
identified and quantified. To meet the required metrology accuracy for the 65-nm node and beyond,
it is suggested that n/k should be floating when performing the regression for OCD modeling. A
feasible way of performing such regression is proposed and verified. As shown in the presented
example, the measured CDU (3σ) with n/k fixed and n/k floating is 1.94 nm and 1.42 nm,
respectively. That is, the metrology error of CDU committed by assuming n/k fixed is more than
35% of the total CDU.
We have demonstrated the feasibility of measuring overlay using small targets with an optical imaging tool has in
earlier papers. For 3&mgr;m or smaller targets, overlay shifts introduce asymmetry into the target image. The image
asymmetry is proportional to the overlay shift and so this effect can be used to measure the overlay.
We have used wafers built using production 45nm and 55nm processes to test these targets in production control
situations. Targets with different programmed offsets allow the necessary conversion between image asymmetry and
overlay shift to be determined empirically on the wafer under test. Measurements made using standard 25&mgr;m
bar-in-bar targets and 3&mgr;m in-chip targets agree to within 10nm (3&sgr;). By processing results from five or more fields
the agreement is improved to 5nm, a level which is limited by a mechanism other than random errors and which is
similar to differences between different styles of bar-in-bar targets.
Analysis of data from both in-chip and bar-in-bar targets shows similar patterns of overlay variation within the device
area. The pattern of overlay variation does not fit mathematical models of overlay as a function of location. The
total change of overlay within the field is 10nm, exceeds the overlay budget for critical layers at 45nm design rules.
This uncontrolled in-field variation in overlay must be reduced and ideally eliminated if process control is to be
achieved. A first step in controlling these errors is having an ability to measure them, and our data shows that this is
possible with targets no larger than 3&mgr;m in total size.
In advanced semiconductor processing, shrinking CD is one of the main objectives when moving to the next generation technology. Improving CD uniformity (CDU) with shrinking CD is one of the biggest challenges. From ArF lithography CD error budget analysis, PEB (post exposure bake) contributes more than 40% CD variations. It turns out that hot plate performance such as CD matching and within-plate temperature control play key roles in litho cell wafer per hour (WPH). Traditionally wired or wireless thermal sensor wafers were used to match and optimize hot plates. However, sensor-to-sensor matching and sensor data quality vs. sensor lifetime or sensor thermal history are still unknown. These concerns make sensor wafers more suitable for coarse mean-temperature adjustment. For precise temperature adjustment, especially within-hot-plate temperature uniformity, using CD instead of sensor wafer temperature is a better and more straightforward metrology to calibrate hot plates. In this study, we evaluated TEL clean track integrated optical CD metrology (IM) combined with TEL CD Optimizer (CDO) software to improve 193-nm resist within-wafer and wafer-to-wafer CD uniformity. Within-wafer CD uniformity is mainly affected by the temperature non-uniformity on the PEB hot plate. Based on CD and PEB sensitivity of photo resists, a physical model has been established to control the CD uniformity through fine-tuning PEB temperature settings. CD data collected by track integrated CD metrology was fed into this model, and the adjustment of PEB setting was calculated and executed through track internal APC system. This auto measurement, auto feed forward, auto calibration and auto adjustment system can reduce the engineer key-in error and improve the hot plate calibration cycle time. And this PEB auto calibration system can easily bring hot-plate-to-hot-plate CD matching to within 0.5nm and within-wafer CDU (3σ) to less than 1.5nm.
The functional dependence of a resist critical dimension (CD) with respect to resist thickness for a general absorptive thin-film stack in the case of oblique incidence is derived analytically with the rigorous electromagnetic theory. Based on obtained results, we discuss those thin-film effects related to CD control, such as the swing effect, bulk effect, etc., especially in the regime of high numerical aperture optical lithography.
The challenging metrology application for scatterometry and CD-SEM is to accurately measure both CD and profile. To apply this metrology specifically to dual-damascene hole structures is critical for the back-end processing, in order to control both the CD and the process overall. This paper discusses applications of Optical Digital Profilometry-based scatterometry to the advanced 90nm node dual-damascene process. The application includes contact ADI, via AEI, via etch, and via fill. The results show that scatterometry can measure CD, as well as provide sidewall angle and profile information that is unavailable by CD-SEM. Correlations to CD-SEM and cross-sectional SEM are also presented. For future applications, scatterometry is a viable solution for 3D structures, and provides higher precision, and more metrology information than current metrology methods for critical dual-damascene processes.
CD-SEM and scatterometry are two of the top candidates for CD metrology in 90 nm node. In this study, Optical Digital Profilometry (ODP) based scatterometry was used to evaluate four topics: CD SEM and ODP process resolution comparison, ODP duty ratio limitation study, Poly AEI undercut sensitivity, STI ODP to TEM profile and trench depth matching. The scatterometry results were compared to CD-SEM and TEM results to develop the correlation of different metrology techniques. Scatterometry is able to provide robust uniformity measurement with additional information compared to CD-SEM. The additional information included sidewall angle, photoresist thickness, A°RC layer thickness, and under-layer film thickness. Actual data showed that this extra information was essential to trouble shoot the CD uniformity issue, separate the scanner, track, and thin film deposition impact on final CD uniformity. Scatterometry can be used not only as a metrology tool to measure CD uniformity, but also a useful analytical tool to find out the cause of CD non-uniformity. In small FEM study, scatterometry demonstrated its high resolution and precision. It can clearly identify the CD shift of less than 0.5 nm with exposure energy shift of 0.1mJ. This high resolution enabled a clearer definition of process window, and monitoring of small process shift in the actual production. From the experimental results, current optical tool with ODP technology was well qualified for duty ratio > 30 iso line measurement, detecting Poly undercut, STI profile and depth TEM matching.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.