The impact of mask defects on wafers has been successfully simulated by KLA’s mask SEM-to-Aerial (S2A) image simulator. The high prediction accuracy, high throughput and low cost have been proven by mask shop production users. S2A generates the reference mask SEM images by rendering the post-OPC design to match with measured mask SEM images containing defects. From the two mask SEM images, defect-free reference absorber contour and defective absorber contour are extracted, and the two contours are used for wafer-level aerial image simulation through EUV scanner conditions. Pass/Fail of %CDE, EPE, etc. are reported by automatically generating measurement cutlines. S2A can be used for wafer prediction for pre/post-repair full height absorber defects using top-down mask SEM images, but it cannot be used for EUV multi-layer buried defects or for partial height residues remaining after repair. KLA and SK hynix have been investigating a solution for this use case using AFM images, which can measure the height error from the buried defect or partial height residue in post-repair mask. In this paper, we will show how measured SEM and AFM images are processed to fit with rendered reference SEM and AFM images. We then show how advanced scanner simulation models are used for determining the wafer printability of mask absorber defects, buried defects and absorber residues. Finally, the predicted wafer impact of the mask defect is compared with wafer SEM images for validation. This approach, named S2A-3D, will help reduce loading on EUV actinic metrology and provide a fast, accurate and cost-effective dispositioning of post-repair EUV defects.
ASML NXE3100 has been introduced for EUV Pre-Production, and ASML NXE3300 for High Volume Manufacturing will be installed from this year. EUV mask defect control is the one of the concerns for introducing EUVL to device manufacturing, for current EUV mask defect level is too high to accept for device volume production. EUV mask defects
come from mask blank, mask process and mask handling. To have reduced mask defect level, quality control of blank
mask, optimization of EUV mask process and improvement of EUV mask handling need to be ready. In this paper, we analyze printed defects exposed from EUV full field mask at NXE3100. For this analysis we trace mask defects from mask to wafer printing. From this we will show current EUV mask’s defect type and numbers. Acceptable defect type, size and numbers for device manufacturing with EUVL will be shown. Through investigating printing result of natural ML defects, realistic level of natural ML defects will be shown.
The Critical Dimension Uniformity (CDU) specification on photo-mask is getting increasingly tighter which each
successive node. The ITRS roadmap for optical masks indicates that, the CDU (3 sigma) for dense lines for binary or
attenuated phase shift mask is 3.4nm for 45nm half-pitch (45HP) node and will go down to 2.4nm for 32HP node. The
current variability in mask shop processes results in CDU variation across the photo-mask of ~2-3nm.
Hence, we are entering in a phase where the mask CDU specification is approaching the limit of the capability of the
current POR (process on record). Hence, mask shops have started exploring more active mechanisms to improve or
compensate for the CDU of the masks. A typical application is in feeding back the CDU data to adjust the mask writer
dose and compensate for non-uniformity in the CDs, resulting in improved quality of subsequent masks. Another option
is to feed the CD uniformity information forward into the wafer FAB and adjust the scanner dose to correct for reticle
non-uniformity. For these purposes mask makers prefer a dense measurement of CDs across the reticle in a short time.
Mask makers are currently using the CD-SEM tool for data collection. While the resolution of SEM data ensures its
position as the industry standard, an output map of CDU from a reticle inspection tool has the advantage of denser
sampling over larger areas on the mask. High NA reticle inspection systems scan the entire reticle at high throughput,
and are ideally suited for collecting CDU data on a dense grid.
In this paper, we describe the basic theory of a new, reticle inspection-based CDU tool, and results on advanced
memory masks. We discuss possible applications of CDU maps for optimizing the mask manufacturing and wafer
production processes.
As the nano-lithography technology continues to develop towards advanced generation of ArF immersion lithography,
the quality of ArF EAPSM becomes the most valuable factor for worldwide Maskshop. Therefore outturn of ArF
EAPMS increase continuously, and people who work in the fields of semiconductor engineering give consequence to
good quality of ArF EAPSM until the EUV lithography generation. Because 300mm wafer litho-facility use higher
exposure energy, wider shot field and more shots per a wafer for achieving more memory(DRAM or Flash) chips than
200mm exposure facility, photo engineer wants unchanged initial condition of mask quality(CD MTT, CD Uniformity,
repeating defect, phase shift and transmittance). In other words, mask manufacturer must focus on the concept of ArF
EAPSM 'life time'.
We have investigated the influence grade inducing the lithographic variation between the growth of exposure energy
based Haze phenomena, thin organic pellicle membrane characteristics, and we have verified that the ArF pellicle
durability is one of the most important evidence for improvement of life time of ArF EAPSM.
In this study, related with ArF EAPSM life time, we tried to evaluate the influence of ArF pellicle characteristic
consisting of pellicle membrane transmittance strength (durability against ArF laser source) and non acid mask condition
for the period of non Haze contamination without added re-pellicle → re-cleaning cycle. Metrological inspection and
evaluation was conducted with several equipment and analysis including mask inspection, Scatterometer, IC, ArF laser
accelerator.
We have investigated the factors having influence on the lithographic fidelity variation in 193nm masks. Significant
researches have been studied that haze contamination, resulting from the absorption of chemical residual ions and mask
container out-gassing in mask fabrication, is one of the major component to reduce the optimized lithography condition
such as Best Focus, Depth of Focus and Exposure latitude of individual feature. And also environment being containing
humidity, ambient AMC (airborne molecular contamination) react with high exposure energy to form crystal growth of
ionic molecular complex such as ammonium sulfate causing abnormal printability. Moreover, optical issue of organic
pellicle membrane is thoroughly considered that perfluoro polymer degradation induced by high photon energy affect the
transmittance intensity. Consequently, these photophysical alterations bring about the lithographic variation and cause
considerable defects in wafer printing.
In this paper, we tried to verify the influence grade inducing the lithographic variation among the latent contamination
factors consisting of mask back-side quartz contamination, the growth of exposure energy based haze phenomena, thin
organic pellicle membrane degradation and modified character of MoSiN surface. Metrological inspection and
photochemical reaction evaluations were conducted with several equipments including AIMS, Scatterometer, XPS, SIMS,
FT-IR, UV, ArF acceleration laser to demonstrate the proposal mechanism of correlation between lithographic variation
and latent contamination factors. The optical issues and lifetime of ArF PSM were simulated with the evaluation of
effects of pellicle degradation and surface modification.
As design rule of memory device is smaller and smaller, the CD uniformity of a photomask become the most
important factor to satisfy wafer exposure performance. Once the photomask is made, CD uniformity of the mask
can't be changed and if CD uniformity of the mask is not good to use for wafer exposure, we must reject it and
make another one again. But, after applying transmission control tool for CD uniformity, we have an extra chance
to control mask CD uniformity in one mask and this is very effective for wafer printing result.
In this paper, we are going to evaluate the behavior of wafer CD due to transmission control position change
within photomask substrate and find the optimum control position for better wafer result.
Since mask design rule is smaller and smaller, Defects become one of the issues dropping the mask yield.
Furthermore controlled defect size become smaller while masks are manufactured. According to ITRS roadmap on
2007, controlled defect size is 46nm in 57nm node and 36nm in 45nm node on a mask. However the machine
development is delayed in contrast with the speed of the photolithography development.
Generally mask manufacturing process is divided into 3 parts. First part is patterning on a mask and second part is
inspecting the pattern and repairing the defect on the mask. At that time, inspection tools of transmitted light type are
normally used and are the most trustful as progressive type in the developed inspection tools until now. Final part is
shipping the mask after the qualifying the issue points and weak points. Issue points on a mask are qualified by using
the AIMS (Aerial image measurement system).
But this system is including the inherent error possibility, which is AIMS measures the issue points based on the
inspection results. It means defects printed on a wafer are over the specific size detected by inspection tools and the
inspection tool detects the almost defects. Even though there are no tools to detect the 46nm and 36nm defects
suggested by ITRS roadmap, this assumption is applied to manufacturing the 57nm and 45nm device.
So we make the programmed defect mask consisted with various defect type such as spot, clear extension, dark
extension and CD variation on L/S(line and space), C/H(contact hole) and Active pattern in 55nm and 45nm node. And
the programmed defect mask was inspected by using the inspection tool of transmitted light type and was measured by
using AIMS 45-193i. Then the marginal defects were compared between the inspection tool and AIMS. Accordingly we
could verify whether defect size is proper or not, which was suggested to be controlled on a mask by ITRS roadmap.
Also this result could suggest appropriate inspection tools for next generation device among the inspection tools of
transmitted light type, reflected light type and aerial image type.
As the photomask design rules continue to shrink towards 45nm and below, the defect classification criteria is
becoming more challenging to be set accurately. Pattern fidelity issues and masks defects that were once considered
insignificant or merely nuisances are now yield-limiting. On the other hand, there are still cases of small defects
captured during reticle inspection but will not print on the wafer. In addition, in a production setting environment it is
critical to ascertain quickly and efficiently the true lithographic effect of reticle defects in order to avoid yield and cycle
time impacts.
As a starting point, it is best to inspect the reticle at the highest sensitivity to find all defects and anomalies. From there,
fast and efficient means to sort and prioritize defects are necessary for inspection operators' and engineers' convenience.
Then, it is critical to model all the defects accurately for their lithographic impact. Finally, an accurate lithography-based
set of reticle defect disposition criteria can be developed for the manufacturing process flow.
The focus of this study is on contact or hole patterns since the issues regarding capture of defects on such patterns are
typically more complex than the ones on line and space patterns. The intent is to assess and devise defect disposition
criteria for contact hole layers utilizing KLA-Tencor's 5X6 DUV inspection system with both standard die-to-die and
Litho2 algorithms and the Automated Mask Defect Disposition (AMDD) system. AMDD lithographic printability
results will be compared to AIMS results and printed results on wafer.
As mask feature size is shrinking, required accuracy and repeatability of mask CD measurement is more severe. CD-SEM which is usually used to measure below 0.5um pattern shows the degradation of repeatability by the sparkle noise. To reduce this, larger ROI (range of interest) is recommended on line and space patterns. But this wide ROI is difficult to use on Hole or isolated patterns. In this paper, anisotropic diffusion filtering method will be introduced to replace the ROI, and evaluated on various patterns such as holes and isolated patterns. It can also reduce the effects of defocus of CD-SEM and enhance the repeatability of CD-SEM. And multi-point CD measurement technique is described to reduce the local CD errors on CD uniformity of mask which is usual on one dimensional CD measurement conventionally. Using these methods, local CD uniformity and global CD uniformity of masks which is the key performance of mask quality can be measured more exactly compared to old CD measurement method. And we can give correct information of mask to reduce global CD uniformity by process tuning such as FEC (Fogging Effect Correction) or development process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.