In order to continue scaling down the feature sizes of the devices, EUV lithography is regarded as the most
powerful candidate for patterning. So It has being studied to overcome the several issues such as source
power for high throughput to apply volume production, mask defectivity from mask blank, RLS (Resolution,
LWR & Sensitivity) trade off, which is the intrinsic property of EUV resist, and so on.
For 2x nm node DRAM, dense contact hole, which has 3x nm half pitch (hp), has been issued to be made so
far. There are two well-known methods for pattering; hole double patterning with ArF immersion lithography
and single patterning with EUV lithography. EUV is more simple solution than hole double patterning for
3xnm hp dense contact hole, if it has large process window and comparable CD uniformity. Fortunately,
EUV process already has larger process window than that of ArF immersion because its k1 value is a little bit
high. But CD (critical dimension) uniformity and pattern profile were very poor in our initial result.
Therefore it needs a lot of efforts to improve and compete against double patterning.
The double patterning performance for 3xnm hp contact hole has been shown last year. In this paper, we
will investigate on improving CD uniformity and pattern profile for 3x nm hp contact hole with several
methods. Finally, the performance of EUV, which is achieved by our experiments, is being compared with
that of double patterning in terms of CD uniformity and pattern profile.
Extreme Ultra-Violet (EUV) lithography is almost only solution reachable for next-generation lithography below 30nm
half pitch with relative cost competitiveness. In this study, we investigate the feasibility of EUV lithography for applying
2X nm dynamic random access memory (DRAM) patterning. Very short wavelength of 13.5nm adds much more
complexity to the lithography process. To understand for challenges of EUV lithography for high volume manufacturing
(HVM), we study some EUV specific issues by using EUV full-field scanners, alpha demo tool (ADT) at IMEC and pre-production
tool (PPT) at ASML. Good pattern fidelity of 2X nm node DRAM has been achieved by EUV ADT, such as
dense line and dense contact-hole. In this paper, we report on EUV PPT performance such as resolution limit, MEEF,
across slit CD uniformity (CDU) and focus & exposure latitude margin with 2X nm node DRAM layers in comparison
with ADT performance. Due to less flare and aberration of PPT, we have expected that PPT shows good performance.
Conventional EVUL mask has 80nm absorber height which brings considerable shadowing effect. H-V CD bias of 40nm
line and space by shadowing effect is more than 4nm, and that is expected to increase much more for narrower patterns
by simulation. However various reports have been presented on mask shadowing bias correction, experimental results
are not reliable to derive required mask bias correctly. Even more difficulty will arise when complex 2D structures are
taken into account. Therefore minimization of shadowing effect by reducing absorber thickness is desirable. To transfer
EUV lithography from experimental stage to HVM era, we need to find optimum absorber height of EUVL mask which
allows us less shadowing effect with minimum loss of process window.
In this paper, we present optimal absorber height of EUV mask which has been found in terms of shadowing effect and
process window by simulation and exposure. To find minimized absorber height experimentally, we will compare the
printing result of conventional and thin mask stack using simple 1:1 line and space and island patterns. Simulated H-V
CD bias and process window will be presented.
In the field of lithography technology, EUV lithography can be a leading candidate for sub-30 nm technology node.
EUVL expose system has different characteristics compared to DUV exposure system. EUV source wavelength is short
and no material is transparent to the source. So off-axis reflective optic system is used for patterning in place of on-axis
refractive system of DUV system. And different reticle design is needed that consists of 40 pair of Mo/Si multi layer
and absorber layer in place of conventional mask. Because of the oblique incidence on the mask, shadowing effect is
occurred such as pattern asymmetry, shift and pattern bias depending on pattern orientation. For non-telecentric
characteristics of EUV scanner, shadowing effect produces CD variation versus field position[1][2]. Besides, it is well
known that EUV scanner has bigger flare than conventional DUV scanner. Therefore, the correction of mask shadowing
effect and flare level are one of the important issues for EUV lithography.
In this paper, process window and MEF of EUV lithography has been examined by 3D mask simulation. CD
variation by shadowing is simulated for various pattern orientations. A shadowing correction method has been
calculated due to field position to reduce shadowing effect. And the correction effect is examined by simulation and
Experimental results. Principle of radial overlay shift due to field position is verified then the shift length of line and
space pattern is calculated.
In the past several years, ArF immersion lithography has been developed rapidly for practical applications. ArF
immersion lithography is now researched actively and developed for the purpose of implementing the 45-nm technology
node. For the device designs involved immersion lithography, line width roughness (LWR) and film wettability are very
important criteria to control in the point of high resolution and defectivity.
Free radical polymerization in the presence of thiocarbonylthio compounds of general structure Z-C(=S)S-R provides
living polymers of predetermined molecular weight and narrow molecular weight distribution by a process of reversible
addition-fragmentation chain transfer (RAFT). A rationale for selecting the most appropriate thiocarbonylthio
compounds for a particular monomer type is presented with reference to the polymerization of methacrylates, styrenes,
acrylates, acrylamides, and vinyl acetate.
In this study, resist polymers with narrow polydispersity (PD) and controlled molecular structure were prepared using
controlled radical polymerization techniques, such as RAFT polymerization. PD index of polymers showed between
about 1.2 to 1.4 and in some instances, between about 1.1 to 1.2 or less. Additionally, each polymer chain has a RAFT
end group. That is the resulting polymer contains a chain transfer agent (CTA) moiety at each terminal end of polymer
backbone. It is possible that hydrophobic CTAs can be used to decrease the hydrophilicity of resist film.
ArF Immersion lithography is expected to be a production-worthy technology for sub-60nm DRAM. It gives wider
process window and better CD uniformity at the cost of defects and overlay accuracy. It is generally mentioned that
immersion defects are generated during exposure and removed through pre-soak and post-soak process. A lot of efforts
are being made towards less defect generation during exposure and more defect removal through pre-soak and postsoak
process.
We have experienced a variety of immersion defects and classified them into four types: bubble defect, water mark
defect (T-top & Stain), swelling defect and bridge defect (Macro & Micro). We have worked very hard to reduce each
immersion defects with immersion exposure and system. In this paper, we investigate method to reduce each
immersion defects: bubble, water mark, swelling and bridge through our experiment.
Silicon-containing material has recently attracted attention as new hard mask material. We have studied the applicability
of MFHM (Multi-Functional Hard Mask)/SOC (Spin on Carbon) materials as an alternative to the BARC/SiON/
amorphous carbon (a-C) process. This process is very useful in terms of cost reduction and process simplicity compared
to a-C process. Evaluation results have showed good lithographic and etch performances. However, this MFHM process
has showed specific defects related to material. This paper will focus on defect type and suggest its solution.
ArF Immersion lithography is the most promising technology for 45nm node and possibly beyond. However, serious
issues in ArF immersion lithography for semiconductor mass production still exist. One of the issues is immersion
specific defects, which are caused by photoresist component leaching and residual water droplets. In order to minimize
immersion specific defects, preventing water penetration into the resist film is regarded as an important factor. Several
research groups have reported that higher receding contact angle reduced defectivity. High receding contact angle of
film surface prevent water penetration into the resist film due to the hydrophobic nature. Resist component leaching
phenomenon also can be caused by the water penetration into the film, so hydrophobic resist can reduce leaching
quantity.
In this paper, to investigate chemical leaching from resist surface, we evaluated the leaching value of PAG anion and
contact angles of various polymers according to their hydrophobicity. Hydrophilicity of a polymer was changed by the
degree of hydrophobic group substitution to polymer chain. We measured receding contact angle with four different
resists composed of water-repellent functiona group. Receding contact angle of resist surface increased as the portion of
water-repellent functional group increased. Also, the leaching amount of PAG anion decreased as the receding contact
angle of film surface increased. We expect that higher receding contact angle prevents chemical leaching from resist film
by repelling water at the surface. We will report detailed results in this paper.
Recently, a new technology called ArF immersion lithography is emerging as a main stream of next generation lithography. However, the first problem of this technology is contamination issues that come from the dissolution of contaminants from the photoresist to the immersion liquid. The second problem is defect issue that comes from interaction between immersion liquid and resist. To solve these two problems, we have developed top antireflective coating (TARC) material. This TARC material can be coated on resist without damage to the resist property. In addition, this TARC material is easily developable by conventional 2.38 wt% TMAH solution. The reflective index of this TARC is adjusted to 1.55, so it can act as an antireflective material. To this TARC material for immersion, quencher gradient resist process (QGRP) was applied also. As a result, we could improve resolution and process margin. However, some of resists showed defects that were generated by this TARC material and QGRP. To solve this defect problem, we introduced buffer function to the TARC material. Thanks to this buffer function, we could minimize defects of resist pattern in immersion lithography.
Scanning Electron Microscope (SEM) has been typical methods for measuring CD of nanopatterns until ArF process was introduced. However in case of ArF process, this method has serious drawback such as shrinkage of organic material by the irradiation of high-energy electron beam. The optical scatterometry system is considered to be promising method for measuring CD due to no damage on organic materials. Sub-80nm node gate was selected because of its measurement stability. CD, profile and thickness are compared with those measured by CD-SEM, cress-section SEM. The correlation degree is shown as GOF, R2, and Profile. Based on merit of speed, easiness and accurate measurement, optical CD method has been applied to CD uniformity. CD uniformity measured by OCD was very similar to that measured by SEM on gate pattern. Based on this result, OCD was applied for the improvement of CD uniformity combined with ASML's does-mapper in technology. We investigated the variation of thickness of organic BARC over topology of various size line and space patterned poly-Si by OCD.
ArF lithography has shrunk photo resist patterns down to 60nm from 80nm with the help of various RETs (resolution enhancement technologies). Photo resist thickness also has been thinner than ever to increase image contrast and DoF margin and to avoid pattern collapse due to high aspect ratio. Etching process became more difficult and marginal by using thin resist patterning so that new BARC materials having high etching selectivity are required. Since amorphous carbon (a-C) and SiON have good etch selectivity between them, they can be used as hard mask materials for thin resist process. Lithographic alignment system usually uses the light of 400 to 700nm. In general a-C has certain level of light absorption in this wavelength range and the absorption coefficient increases with deposition temperature of a-C. Because a-C film is not suitably transparent to the alignment light, overlay control might get worsen as the thickness of a-Carbon film increased. In this paper, we will present the effect of the thickness of a-Carbon film on alignment signal strength, alignment accuracy and overlay control of various layers. Simulation of alignment signal is conducted and compared with experiment results. It is also studied whether the overlay control can be improved by changing the spectrum of alignment light or structural design of alignment marks. Improvements on alignment accuracy and overlay control are examined by lowering the extinction coefficient, k of a-Carbon film.
In conclusion, because photo resist only is not sufficient for a mask during etch step as the thickness decreased further, adoption of new hard mask is inevitable. It is the alignment trouble for a-Carbon that should be cleared before being named as a main stream of new hard mask.
Double patterning lithography is very fascinating way of lithography which is capable of pushing down the k1 limit below 0.25. By using double patterning lithography, we can delineate the pattern beyond resolution capability. Target pattern is decomposed into patterns within resolution capability and decomposed patterns are combined together through twice lithography and twice etch processes. Two ways, negative and positive, of doing double patterning process are contrived and studied experimentally. In this paper, various issues in double patterning lithography such as pattern decomposition, resist process on patterned topography, process window of 1/4 pitch patterning, and overlay dependent CD variation are studied on positive and negative tone double patterning respectively. Among various issues about double patterning, only the overlay controllability and productivity seemed to be dominated as visible obstacles so far.
To accomplish minimizing feature size to sub 60nm, new light sources for photolithography are emerging, such as F2(157nm), and EUV(13nm). However, these new lithographic technologies have many problems to be solved for real device production. In case of F2 lithography, pellicle issue makes it difficult to use of F2 source in mass production. In case of EUV, light source and mask fabrication issues must be solved for real device application. For these reasons, instead of new light sources, extension of dry ArF lithography has been studied for sub 70nm device production by using Resolution Enhancement Technology (RET) such as using high NA tools, off axis illumination, and phase shift mask. Recently, a new technology called ArF immersion lithography is emerging as a next generation lithography. The first problem of this technology is contamination issues that come from the dissolution of contaminants from the photoresist to the immersion liquid. The second is optical problem that comes from the using hyper NA system. To solve these two problems, we have developed top antireflective coating (TARC) material. This TARC material can be coated on resist without damage to the resist property. In addition to, this TARC material is easily developable by conventional 2.38 wt% TMAH solution. The reflective index of this TARC is adjusted to 1.55, so it can act as an antireflective material. To this TARC material for immersion, quencher gradient resist process (QGRP) was applied also. As a result, we could improve resolution and process margin. However, some of resists showed defects that were generated by this TARC material and QGRP. To solve this defect problem, we introduced buffer function to the TARC material. Thanks to this buffer function, we could minimize defects of resist pattern in immersion lithography.
Immersion lithography has drawn tons of interests as a potential solution for sub-65nm patterning. High refractive index liquid, which is filled in the gap between exposure lens and a photoresist, can improve a resolution through increased effective numerical aperture (NA) of the exposure system. Most attractive liquid for this purpose is water. Our works were conducted as a part of the basic study for immersion lithography and aimed for the verification of leached resist components by water. It was observed that leaching relies largely on the free volume of a polymer and anion size of photoacid generator (PAG). The larger free volume and the smaller anion, the larger T-top resist profile was generated. Additionally, effects of solvents, quenchers and polarity of the polymer were investigated. Detailed results will be reported in this paper.
As the device design rule is continuously shrinking, line end shortening (LES) has grown to be one of the critical problems in 193 nm photolithography. Among several factors causing LES, diffusivity of photo-generated acid seems to have the most profound effect. Also, diffusivity of base quencher produces equivalent effects on LES, but in the reversed way. Besides, post-exposure bake (PEB) condition is another key factor by affecting diffusion length of photo-generated acid. Low LES can be achieved by lowering PEB temperature or shortening its time. In this paper, we will discuss our experimental results to assess the determining factors of LES and suggest controllability of LES in ArF lithographic process.
In-house rinse, HR31 has a strong point in terms of lithographic performance, defect, bubble, and metal impurity. The collapse behavior was quantified in terms of SMCD (Standing Minimum CD) in 80nm dense L/S ArF resist patterns. It contributed to enlarging process window by improving collapse (SMCD: 84→72nm), CD uniformity (12.3→9.3nm), and lithographic margin [EL (11.7→12.8%), and DOF (0.20→0.25µm)].
As the pattern size decreases, the thickness of resist also should be decreased owing to the pattern collapse problem. So the using of surfactant containing rinse material, instead of DI water, can be a solution to the collapse problem. The developing of Bottom Anti Reflective Coating (BARC) that has high etch rate will be helpful to the collapse issue because it enables low thickness resist process and pattern collapse will be decrease. In this paper, Polyacetal, polyacrylate and polyesters BARCs were evaluated. Polyacetal type BARC shows best coating property. Regardless of the topology, polyacetal type BARC shows good conformality. However, polyacrylate and polyesters show coating fail on the topology wafer. In terms of pattern collapse, polyacetal type BARC also shows best results. Among the three types of BARC, ArF BARC that is made by polyester resin shows highest etch rate after 2000ÅBRAC etch. However, when the etching target is 60nm, all BARCs have same etch rate. For the matching with line and space resist, all these three BARCs show good profile. However, polyester type BARC does not match with contact hole resist and could not define contact hole pattern.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.