Step and flash imprint lithography (SFIL) is low cost, high resolution patterning process and has found its way into a multitude of front end of the line (FEOL) and back end of the line (BEOL) applications. SFIL-R, a reverse tone variant of SFIL, and imprintable dielectrics are examples of such applications, and both require the design of specialized, silicon-based materials. Polyhedral oligomeric silsesquioxane (POSS) liquids were modified through a dual functionalization strategy to introduce photosensitive acrylate and thermally curable benzocyclobutane (BCB) groups to the molecule. The optimal functional group ratio was observed to be 3:5 acrylate to BCB, and the result was an imprintable dielectric with good mechanical properties and minimal post-exposure shrinkage. Thermal gravimetric analysis (TGA) revealed good thermal stability with minimal mass loss under annealing conditions of 400°C for 2 hours. Si-14 was designed to be a non-volatile, etch-resistant planarization layer for SFIL-R application. A polydimethylsiloxane (PDMS) derivative was modified to introduce acrylate functional groups and side branching for photosensitivity and low viscosity, respectively. Characterization of the material showed ideal planarization characteristics - low volatility (0.77 Torr at 25°C), low viscosity (15.1 cP), and minimal post-exposure shrinkage (5.1%).
The dual damascene process used to generate copper interconnects requires many difficult processing steps. Back End Of Line (BEOL) processing using Step and Flash Imprint Lithography (SFIL) on a directly patternable dielectric material can dramatically reduce the number of processing steps. By using multi-level SFIL rather than photolithography, two levels of interconnect structure (trench and corresponding via) can be patterned simultaneously. In addition, the imprinted material can be a imprintable dielectric precursor rather than a resist, further reducing the total number of steps in the dual damascene process. This paper presents progress towards integrating multi-level SFIL into a copper CMP process flow at ATDF, Inc. in Austin, Texas. Until now, work has focused on multi-level imprint process development. This report focuses on the development of new imprintable dielectric precursors for use with the dual damascene imprint process. SFIL compatible dielectric precursors were synthesized and characterized for integration into the ATDF copper CMP process flow. SFIL requires properties not found in currently available semiconductor dielectrics such as low viscosity and rapid photo-induced polymerization. Inorganic/organic hybrid materials derived from sol-gel chemistry and polyhedral oligomeric silsesquioxane (POSS) structures show promise for this application. The properties of three different dielectric layers are compared. The viability of each material as an interlayer dielectric is discussed and the results of multi-level patterning, metal fill, and polish are shown.
At SPIE Microlithography 2005, the concept of direct imprinting of dielectric material for dual damascene processing and its benefits was introduced 1. Manufacturing a nano-imprint template with multi-tier 3-D structures presents a unique set of challenges. The main issues are patterning two different mask layers with good overlay and etch depth control into the quartz at each step on the same substrate. This work describes the tools and processes used to build these types of structures in a commercial photomask shop. The results of using a template with two levels of patterning to imprint dual damascene 3-D structures will also be presented.
Advanced microprocessors require several (eight or more) levels of wiring to carry signal and power from transistor to transistor and to the outside world. Each wiring level must make connection to the levels above and below it through via/contact layers. The dual damascene approach to fabricating these interconnected structures creates a wiring level and a via level simultaneously, thereby reducing the total number of processing steps. However, the dual damascene strategy (of which there are several variations) still requires around twenty process steps per wiring layer. In this work, an approach to damascene processing that is based on step-and-flash imprint lithography (SFIL) is discussed. This imprint damascene process requires fewer than half as many steps as the standard photolithographic dual damascene approach. By using an imprint template with two levels of patterning, a single imprint lithography step can replace two photolithography steps. Further efficiencies are possible if the imprint resist material is itself a functional dielectric material. This work is a demonstration of the compatibility of imprint lithography (specifically SFIL) with back-end-of-line processing using a dual damascene approach with functional materials.
Step and flash imprint lithography (SFIL) has made tremendous progress since its initial development at The University of Texas at Austin in the late 1990s. The SFIL process went from laboratory to commercialization in under five years, and the number of technical hurdles that must be cleared before it is recognized as fully competitive with optical or EUV lithography for sub-50-nm patterning is dwindling. Patterning resolution has been demonstrated down to 20 nm, with the limit so far being only the template fabrication process. The SFIL method was developed from the beginning with the precision overlay/alignment requirements of multilevel device fabrication in mind. It was recognized that it would be inherently easier to achieve overlay and alignment accuracy with a constant temperature and low pressure imprinting process, and already tool designers have built on SFIL's advantages to produce tools that are viable for multilayer device fabrication. Early tools have demonstrated better than 10-nm alignment resolution, and no insurmountable fundamental issues have been identified that would prevent alignment resolution from reaching the tight tolerances required for integrated circuit manufacturing. With any contact printing method, process-generated defects are a concern, but the SFIL process has proven to be surprisingly robust with an inherent self-cleaning mechanism for removing particle contamination. Furthermore, new template surface treatments have been developed that improve mold lifetime and minimize defect generation. SFIL shows promise as a low cost manufacturing tool for a wide variety of semiconductor, microelectromechanical, optoelectronic, microfluidic, and other devices. This work summarizes the state of development of step and flash imprint lithography and discusses its potential as a general nanofabrication tool.
A variety of experimental evidence suggests that positive-tone chemically amplified photoresists have an intrinsic bias that might limit resolution during high-volume lithographic processing. If this is true, the implications for the semiconductor industry require careful consideration. The design concept of chemical amplification is based on generation of a chemically stable catalytic species in exposed regions of the photoresist film. The catalytic action of the photoproducts on the photoresist polymer causes a change in the dissolution rate in the irradiated regions of the film. Formation of a stable catalyst species is required for chemical amplification, but it has long been recognized that catalyst migration can produce a difference between the initial distribution of exposure energy and the final distribution of photoproducts. This difference, known as diffusion bias, depends on the photoresist chemistry and processing conditions. Diffusion bias is insensitive to exposure conditions, but it is possible to reduce catalyst migration through changes to resist formulation such as increasing the size of the catalyst molecule or processing conditions such as reducing the post exposure bake temperature. Another common approach to limiting diffusion bias is to incorporate base additives into the photoresist formulation to scavenge diffusing acid catalyst. All of these approaches to reducing catalyst migration generally reduce the catalytic efficiency of each photoproduct and therefore increase the total exposure dose required to pattern the film. Increases in required exposure dosage reduce the throughput of the exposure tools and can reduce the profitability of the manufacturing process. In this paper we present experimental results that are suggestive of an intrinsic photoresist bias. This diffusion bias sets a minimum resolution limit for chemically amplified resist systems that can be improved at the cost of reduced throughput and productivity.
KEYWORDS: Diffusion, Surface roughness, Line edge roughness, Polymers, Atomic force microscopy, Monte Carlo methods, Lithography, Photoresist materials, Molecules, Photomasks
As feature dimensions shrink, line edge roughness has become an increasing concern in semiconductor fabrication. There are numerous potential contributors to line edge roughness throughout the lithographic process and any measured roughness value on a printed device feature is, like the feature itself, a convolved function of every processing step. When the full lithographic process is used to study line edge roughness, it can be difficult to isolate the contribution to final roughness from any individual processing step or factor. To gain a more fundamental understanding of roughness generation that is specifically related to photoresist chemistry and formulation it is necessary to design experiments that separate out exposure related issues like mask dimension variation or local dose variation (“shot noise”). This can be accomplished using previously reported experimental protocols for bilayer film stack creation. The bilayer experimental approach has been used to study the effect of variations in such factors as post exposure bake time, photoacid generator loading, and developer concentration on roughness generation. Surface roughness of the developed film stacks is measured via atomic force microscopy. Surface roughness of developed bilayer film stacks may be considered analogous to sidewall roughness of printed features. An acrylate-based 193nm photoresist resin and an APEX-type resin are used in these experiments. In addition to experimental results, results from mesoscale lithographic simulations are used to gain further insight into diffusion induced roughness and how roughness in the latent image is modified during the development step.
KEYWORDS: Diffusion, Polymers, Glasses, Photoresist materials, Molecules, Adaptive optics, Temperature metrology, Systems modeling, Chemical reactions, Chemical analysis
In a chemically amplified resist the exposure energy is used to generate a catalytic species, which promotes a solubility-switching reaction during a post exposure processing step. Using an absorbed photon to generate a catalyst, instead of using it to directly cause a solubility-switching photochemical reaction, allows for much lower exposure doses to be used for patterning since the catalytic species can eventually promote multiple solubility-switching events instead of just one. Some level of catalyst mobility is necessary to achieve the amplification effect as the catalyst must move from reaction site to reaction site, but any catalyst mobility creates the possibility of movement from exposed regions into unexposed regions causing image blur or line width spreading. As the catalyst diffuses in the resist, it promotes chemical reactions; these chemical reactions complicate analyses of catalyst diffusion by changing the chemical environment of the diffusant. Thus, the material properties of the surrounding resin are changing, sometimes drastically, as the catalyst diffuses. In addition to simple changes in material type, the chemical reaction also generates a transient material state as reaction by-products either remain in the resist film or desorb. The variation in lifetime of this transient state is another factor that must be considered in a full analysis. This work reports a method to separate reaction effects from catalyst diffusion effects. Acid diffusion in polymers which are close structural analogues to poly(4-t-butyloxycarbonyloxystrene) (TBOCST), while being unreactive to diffusing acidic molecules, was studied. Specifically, the diffusion properties of photogenerated perfluorobutanesulfonic acid in the unreactive TBOCST analogues poly(4-isopropyloxycarbonyloxystyrene) and poly(4-neopentyloxycarbonyloxysytrene) are reported. Measuring and understanding diffusion in these analogue polymers provides insight into the more complicated, and more important, reaction-diffusion processes of TBOCST.
A mesoscale computer simulation for positive tone chemically amplified resists is reported. The simulation is based upon detailed models of resist function, and the required simulation inputs are fundamental quantities that can be measured independently of lithographic performance. These input parameters include resist formulation variables such as polymer molecular weight, photoacid generator loading, and activation energy of the deprotection reaction, as well as processing variables such as temperature and duration of bake steps and developer concentration. The simulation can model all major resist processing steps: spincoat and post apply bake, exposure, post exposure bake, dissolution, and drying. The general approach involves representing the photoresist film on a three dimensional lattice. Some lattice sites represent acid or solvent molecules and other lattice sites are strung together to form polymer chains. Each lattice cell is considered individually during the simulation, and each behaves in a manner consistent with its chemical identity. The mesoscale nature of the model allows investigation of stochastic effects that lead to line edge roughness, such as shot noise during exposure and the finite size of resist molecules. The model is based upon extensive experimental studies, and has now yielded results that are qualitatively correct for all major lithographic processing steps.
In recent years the line end shortening (LES) phenomena, known also as line end pullback, has emerged as a significant issue in microlithography. The causes of LES include aerial image formation, simple pattern geometry considerations, and diffusion effects. This paper presents an overview of the main causes of LES with particular attention to contributions due to exposure gradients at the feature edge. The effect of exposure gradients on acid diffusion is described and results of experiments designed to study gradient effects are presented along with simulation of diffusion contributions to LES. Simulation and experiment suggest that transport-related resist bias mechanisms affect LES in complex ways. In addition to diffusion simulations, aerial image calculations are presented as simple demonstration of the contribution of the imaging system to LES.
The migration of acid catalyst molecules from exposed regions into unexposed regions in chemically amplified photoresists and the resulting image blur, has long been recognized as an important topic requiring close study. A fuller understanding of acid transport mechanisms occurring during the post exposure bake is important to help guide the development and formulation of photoresists capable of reliably resolving the increasingly small features required by the semiconductor industry. This paper reports the direct measurement of diffusion coefficients for perfluorobutane sulfonic (nonaflate) acid in poly(4-hydroxystyrene) at several elevated temperatures. These results show that the Fickian diffusion coefficient for nonaflate acid in poly(4-hydroxystyrene) is too small, at typical post exposure bake temperatures, to account for observed isofocal bias. Also reported is a new technique for investigating acid transport properties of photoresist films. This method uses selective silylation to decorate cleaved resist film stacks, so that the extent of acid catalyst migration can be measured directly by scanning electron microscope (SEM). Acid transport distances from the SEM method are compared to those obtained from infrared (IR) spectroscopic techniques.
KEYWORDS: Polymers, Computer simulations, Molecules, Diffusion, Lithography, Monte Carlo methods, Photoresist materials, Performance modeling, Deep ultraviolet, Polymer thin films
Computer simulation of microlithography is a valuable tool for both optimization of current processes and development of advanced techniques. The capability of a computer simulation is limited by the accuracy of the physical model for the process being simulated. The post exposure bake (PEB) of a deep-ultraviolet resist is one process for which an accurate physical model does not exist. During the PEB of a deep- ultraviolet resist, mass transport of photogenerated acid allows a single acid molecule to catalyze several deprotection reactions. Unfortunately, lateral transport of acid into unexposed regions of the resist complicates control over the critical dimension of printed features. An understanding of the factors that contribute to acid mobility would allow resist manufacturers to tailor resist transport properties to their needs. Molecular level models are particularly valuable when attempting to examine mechanistic phenomena and offer the best possibility of accurately predicting lithographic performance based upon the chemical formulation of a resist. This work presents a new, molecular scale simulation of the acid generation and transport process.
An alternative approach to lithography is being developed based on a dual-layer imprint scheme. This process has the potential to become a high-throughput means of producing high aspect ratio, high-resolution patterns without projection optics. In this process, a template is created on a standard mask blank by using the patterned chromium as an etch mask to produce high-resolution relief images in the quartz. The etched template and a substrate that has been coated with an organic planarization layer are brought into close proximity. A low-viscosity, photopolymerizable formulation containing organosilicon precursors is introduced into the gap between the two surfaces. The template is then brought into contact with the substrate. The solution that is trapped in the relief structures of the template is photopolymerized by exposure through the backside of the quartz template. The template is separated from the substrate, leaving a UV-curved replica of the relief structure on the planarization layer. Features smaller than 60 nm in size have been reliably produced using this imprinting process. The resolution silicon polymer images are transferred through the planarization layer by anisotropic oxygen reactive ion etching. This paper provides a progress report on our efforts to evaluate the potential of this process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.