A mid-infrared (MIR) imager and spectrometer is being investigated for possible construction in the early operation of the Thirty Meter Telescope (TMT). Combined with the MIR adaptive optics (AO) system (MIRAO), the instrument will afford ~15 times higher sensitivity and ~4 times better spatial resolution (0.07”) at 10μm compared to 8m-class telescopes. Additionally, through exploiting the large collection area of the TMT, the high-dispersion spectroscopy mode will be unrivaled by other ground- and space-based facilities. These combined capabilities offer the possibility for breakthrough science, as well as ‘workhorse’ observing modes of imaging and low/moderate spectral resolution. In this paper we summarize the primary science drivers that are guiding the instrument design.
The Photonic Pandgap fiber was proposed as a new alternative for traditional glass-made gas cell used in spectroscopic
measurements. Several PBG fibers were specially designed and produced for performed experiments. Gas flow within
fiber was simulated and measured and ppm concentrations of ammonia gas were detected with short lengths of fiber.
Final goal of the project is to produce portable and compact device for fast, ppb range measurement. Additionally,
several fiber cutting methods were tested.
We have proposed a new resolution enhancement technology using attenuated mask with phase shifting aperture, named "Mask Enhancer", for random-logic contact hole pattern printing. In this study, we apply a new mask blank on Mask Enhancer in order to prevent the light intensity loss caused by the mask topography effect. We also perform to expose the new Mask Enhancer on the first ArF immersion scanner, ASML AT1150i. We demonstrate that the new Mask Enhancer can achieve 45nm-node contact hole printing with sufficient lithographic performance with combination of immersion lithography.
We have investigated the impact of water and top-coats on the resist in water immersion lithography by analyzing the dissolution behavior and the film constitution. We used a resist development analyzer (RDA) and a quartz crystal microbalance (QCM) to study the dissolution behavior. The film constitution was studied through the gradient shaving preparation (GSP) method in combination with TOF-SIMS. The GSP/TOF-SIMS method reveals the constitution of a top-coat/resist film. We found that, in a resist, the photo acid generator (PAG) anion at a depth of about 30 nm from the surface leached into water and a surface insoluble layer formed during immersion. The estimated amount of leaching was about 5% of the original content. The formation of an intermixing layer with a low dissolution rate was observed for some top-coat and resist combinations. The thickness of the intermixing layer and the formation behavior were made clear. We believe the intermixing layer was caused by the top-coat solvent eluting resist components. In a top-coat, a PAG existed within the top-coat and the PAG anion leached into the water. Top-coats blocked gaseous decomposed products from the resist film during PEB. These results are useful for estimating patterning characteristics and the defectivity due to materials for actual immersion exposure.
We propose the new phase-shifting mask named the centerline phase-shifting mask (CL-PSM; generically named “Mask Enhancer”). The minute phase-shifting aperture is disposed at the center of the opaque rim pattern on the mask to enhance the aerial image. The CL-PSM is able to maximize the aerial image contrast of the line patterns with any line width and any pitch by controlling the size of the phase-shifting aperture. We investigated the fundamental characteristics of the CL-PSM in KrF lithography. 70 nm line patterns with any pitch above 250 nm were successfully fabricated with a DOF of more than 300 nm. We studied the influence of various kinds of mask errors on the CD error, and we clarified that the influence of mask error is sufficiently small for practical use of the CL-PSM. The mask error enhancement factor is practically small for the opaque rim and phase-shifting aperture. A phase error of +/- 5 degrees does not reduce the process margin, and induces no CD variation. Furthermore, we demonstrated that the CL-PSM achieves a 65-nm random line pattern like a logic gate pattern in ArF lithography. The pattern fabrication by CL-PSM attains the required DOF of 300 nm for 65-nm node device fabrication. We confirmed that the “Mask Enhancer” has a resolution potential of 45-nm corresponding to a 1/4 wavelength.
We propose a new resolution enhancement technology (RET) for enhancing the resolution of contact hole patterns. The technology uses an attenuated mask with phase shifting aperture. The phase shifter is laid out based on the OL-PSM and CL-PSM algorithm. These RETs are called “Mask Enhancer”. Aerial images of random hole patterns are strongly enhanced by using the Mask Enhancer. We used the Mask Enhancer in 100-nm hole pattern fabrication in ArF lithography. The process window is strongly improved and the MEEF is drastically reduced compared to att-PSM.
This work was done to identify viable materials for attenuated phase-shift masks (Att-PSMs) for use with 157-nm lithography. Earlier studies proposed Si-based and Zr-based materials as potential contenders for use as Att-PSMs for 157-nm lithography. This report proposes new Ta-based materials for Att-PSMs, and evaluates the irradiation durability of Ta-group bilayer films (Ta and TaSiO) and Si film to F2 laser light. The Ta-based mask is a bilayer structure consisting of an absorption film (AF) layer and a transparent film (TF) layer. Ta is used for the AF, while TaSiO is used for the TF. The Cr of the Si-based mask is used for the AF layer. The TF layer is etched substrate. The transmittance of the Cr-based mask is readily controlled by the thickness of the Cr layer. The phase angle is controlled by the depth of etching substrate. It was found that the Ta- based bilayer films, and the Cr-based films exhibit good irradiation durability for irradiation to 157-nm light. We demonstrated that the Ta-based and Cr-based Att-PSMs are practical for use in 157-nm lithography.
We have investigated new materials for 157nm attenuated phase-shifting mask (Att-PSM). The structure of the Att-PSM is based on the bi-layer film in which a transparent film (TF) is deposited on an absorptive film (AF) on quartz substrate. We evaluated the optical property and the durability against F2 laser irradiation for 157nm Att-PSM materials, for which we prepared the modified ZrSixOy films and SiOx film as a TF and the Cr film and the modified ZrSixOy films as an AF. For a TF, the SiOx and modified ZrSixOy films achieve high transparency and robust durability against F2 laser light. For an AF, the Cr film achieves robust irradiation durability. Furthermore, we investigated the feasibility of defect inspection in consideration of the various combinations of TF and AF. From the calculation of the transmittance at inspection wavelength (193nm and 248nm), it is expected that the defect inspection is feasible in the combination of the SiOx or ZrSixOy transparent films with any absorptive film.
We investigated the durability of CrOxFy film, which is used as a shifter for attenuated phase-shift masks (Att- PSMs), under ArF excimer laser irradiation. The phase shift of an as-deposited film decreased and the transmittance increased due to the disappearance of interfaces, which was caused by the migration of atoms. To improve durability, the sample was annealed at 300 degrees C to remove the interfaces, and the surface was etched to recover the decreased transmittance caused by the annealing. As a result, the lifetime became 1.5 years, which is sufficient for practical devices. The depth-of-focus of an ArF photoresist was 1.2 micrometers for a 0.13 micrometers line-and-space pattern and 0.5 micrometers for an 0.13 micrometers isolated contact- hole pattern when Att-PSMs made from CrOxFy film were used.
We have proposed the combination of the new transparent phase-shifting mask with the off-axis illumination, and have carried out the feasibility study on it, for the objective of the extension in optical lithography. We have demonstrated that 0.10micrometers lien pattern fabrication is achieved with sufficient process margin by applying the transparent phase-shifting mask to ArF lithography. Especially, this new technology is characterized that the resolution of isolated lien pattern in more strongly enhanced as compared with other resolution enhancement technologies, the combination of the attenuated phase- shifting mask with the off-axis illumination and the alternating phase-shifting mask technology. However, the application of the transparent phase-shifting mask is restricted within limited pattern size less than about 0.15micrometers . For applying this new technology to actual logic device patterns, we have also proposed the embedded transparent phase-shifting mask in which the transparent phase shifter and the opaque layer are assigned to the fine features and the larger features, respectively. In the next stage, we will study the application to logic device patterns.
We propose zirconium silicon oxide (ZrSiO) film as a powerful candidate for attenuated phase-shift mask (Att-PSM) materials. A bi-layer structure of this material with an absorptive film (AF) and a transparent film (TF) can effectively control the transmittance and phase. We confirmed the durability of the ZrSiO film in ArF laser irradiation. The lifetime with the change in transmittance and phase after irradiation at 30 kJ(DOT)cm-2 is equivalent to a total dose of 3 years in future ArF exposure systems. We investigated the resolution performance of the Att-PSM with ZrSiO film for a 130 nm pattern. The depth of focus with the Att-PSM was larger than that of the binary mask. Therefore, an Att-PSM with ZrSiO is promising for developing a 130-nm-technology node with ArF lithography. Controllability of the critical dimension on the wafer is discussed from the viewpoint of the mask error factor (MEF).
It was demonstrated that the DOF obtained using the Att-PSM was 0.5 micrometer for 150 nm hole pattern, whereas that obtained using a binary mask was 0.2 micrometer. Durability of the film subjected to ArF laser irradiation is also investigated, and no significant change is observed in both phase and transmittance. It was indicated that the attenuated phase-shifting mask (Att-PSM) is effective and realistic in ArF lithography. Factors in CD control such as mask error factor (MEF), phase and transmittance are discussed. It is important to reduce MEF for hole pattern, since MEF in experiment was over 3 while it is desired to be less than 1.8. Att-PSM with higher transmittance and higher NA are effective to reduce MEF. On the other hand, MEF for line pattern is small enough for use in production. The control of phase and transmittance are also an important issue. It is estimated that phase error of 3 deg and transmittance error of 0.3% are required.
We have developed ArF excimer laser lithography using an alternating phase-shifting mask (PSM) for sub-0.10 micrometers logic device fabrication. The requirement of phase accuracy is discussed on the 0.10micrometers isolated and dense line patterns. It is found that the phase accuracy of +/- 2 degrees is required in order to obtain the sufficient process margin and minimize the CD difference between the nonshifter portion and the shifter portion. The 0.07micrometers by optimizing the phase shift on the dual-trench type PSM. We have also confirmed the fabrication of the 0.05micrometers isolated and semi-dense line patterns. The 0.05micrometers line patterns could be attained with the sufficient processing margin, if the resist performance is further improved. Furthermore, we have investigated the effect of mask bias to the isolated line pattern. It has been demonstrated that the DOF is improved from 0.65(Mu) m to 0.8micrometers by adding the mask bias of 0.02micrometers to the 0.10micrometers isolated line pattern, because the mask bias makes the pivotal point controllable.
We have developed ArF excimer laser lithography using an alternating phase-shifting mask (PSM) for 0.10 micrometer device fabrication. The process margin and the requirement of phase accuracy were discussed for fabricating 0.10 micrometer patterns. We clarified the factor which have an influence on the resist process margin. It was found that the phase error has a great influence on the depth-of-focus (DOF), and the mask structures and the mask bias affect on the exposure latitude. The exposure latitude for the dual-trench type PSM reached over 1.3 times as large as it for the single-trench type. 0.10 micrometer patterns have been achieved with the DOF of 0.8 micrometer and the exposure latitude of 14% by optimizing the phase shift on the dual-trench type mask. Furthermore, the exposure latitude has been improved up to 16.5% by adding the mask bias of - 0.04 micrometer on the opaque area. By a feasibility study with the 10X dual-trench type masks, it was suggested that the phase accuracy of plus or minus 2 degrees was required for 0.10 micrometer pattern fabrication.
The surface modification resist process, which gives negative-tone image owing to the polysiloxane formation on the expected resist surface using a chemical vapor deposition, was studied for ArF lithography. We have designed the resist polymer for improving the resolution, the pattern profile and the sensitivity. We developed the polymer having a photoacid generating unit and a polarity change unit for improving the resolution. Though there was a problem of the pattern degradation by heating in the dry development using a TCP etcher, 0.13 micrometers pattern was achieved with steep wall profile by introducing the thermally stable alicyclic unit to this polymer system. Moreover, we investigated the polymer having a photoacid generating unit and a hydrophilic unit for improving sensitivity. It was found that the introduction of an adequate amount of the hydrophilic unit was effective for improving the sensitivity.
A high-silicon-concentration top surface imaging (TSI) process for 193-nm lithography has been developed using vapor phase silylation, using dimethylaminopentamethyldisiloxane as a silylation agent and polydihydroxystyrene as a resist material. The etching rate of silylated resist can be explained by the relationship between the silicon content, the decomposition temperature, and the density. The pattern profile of the new TSI process can resolve 0.14 micrometers L/S. Although silylated layer flow occurs in a process below the glass transition temperature, the flow problem can be resolved by using a chemically amplified resist.
With increase in density of semiconductor devices, 193 nm lithography is promising to attain smaller feature size patterns. Surface imaging process is useful for this lithography in terms of large depth of focus and prevention from substrate reflection. As the surface imaging process, so far we developed positive surface modification resist process using CVD for various device patterns' fabrication. To solve the issues of selective polysiloxane layer formation and sensitivity, we have improved this positive surface modification resist process using a new polymer, poly(cyclohexyl p-styrenesulfonate-co-methyl methacrylate), and a new photobase generator, O-phenylacetyl acetonaphthone oxime. 0.15 micrometers pattern was successfully fabricated using the new process with improved sensitivity of 80 mJ/cm2.
As a design rule of LSI device gets smaller, critical dimension (CD) control becomes increasingly difficult. This creates a new technology to CD control accurately. The technology utilizes intensity of light diffracted from latent image consisting of periodic patterns in undeveloped photoresist, and its possibility has been reported for several years. We have developed a new method of the CD control by monitoring profile of the latent image using atomic force microscope (AFM). The reduction of CD variation using this method is achieved by controlling development time from the relationship between the profile of the latent image and CD after development. We have tried to apply this method to a resist process in KrF excimer laser lithography and found usefulness of the method for 0.25 micrometers lithography.
We have developed a new quarter-micron lithography technology. This technology is characterized as a KrF excimer laser lithography combined with silylation process. We found that the high potential of resolution improvement with KrF excimer laser lithography can be enhanced using the surface imaging method. In this paper, the optimization and characteristics of silylation process are discussed and the hyper fine patterns of quarter-micron are shown using our novel technique.
A high-speed proximity effect correction system with two-level cell hierarchy processing has been developed to realize an accuracy-assuring electron beam (EB) direct-writing for high density VLSI. The system has two distinct advantages. First, a new hierarchial zoning algorithm is introduced to realize a data compaction for the total pattern transactions. Zone data or assemblies or patterns to be proximity-corrected are created by the zoning procedure. Frame region is associated with each zone in order to incorporate the effect of back-scattered electrons into the zone data. Second, a fast iterative technique is introduced for the proximity effect correction calculation based on a dos modulation method. A double Gaussian proximity function is used for describing the electron scattering. The present correction system was applied to 64 Mbit DRAM pattern with a 0.4 micrometers design rule. The total correction processing for the layer with maximum data volume was completed within four hours in CPU time. The patterns after delineation and development were successfully obtained by combining the present proximity effect correction with tri-layer resist process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.