The extreme ultraviolet (EUV) light source has been developed together with the lithography EUV scanner. As tool with a 10 W EUV light source, ASML shipped the “a-demo tool” in 20071) and Nikon shipped EUV-1 in 20082). Then ASML developed the b-tool, NXE-3100, at the beginning of 2011 with a 100 W EUV light source.3)4) Requirement of the EUV exposure tool is now covered by the g-tool; NXE3300 (for high volume manufacturing (HVM))5). The required EUV power is 250 W clean power (after purifying infrared (IR) and deep ultra violet (DUV) spectra) at intermediate focus (IF). However, the demonstrated power level was around 80 W6)7) in 2013.
Gigaphoton develops CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies including; combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses for shooting and debris mitigation by magnetic field have been applied. We have developed first practical source for HVM; “GL200E” 1) in 2014. Then it is demonstrated which high average power CO2 laser more than 20kW at output power in cooperation with Mitsubishi Electric2). Pilot#1 is up running and it demonstrates HVM capability; EUV power recorded at 111W on average (117W in burst stabilized, 95% duty) with 5% conversion efficiency for 22 hour operation in October 20163). Availability is achievable at 89% (2 weeks average), also superior magnetic mitigation has demonstrated promising mirror degradation rate (= 0.5%/Gp) at 100W or higher power operation with dummy mirror test. We have demonstrated >300W operation data (short-term) and actual collector mirror reflectivity degradation rate is less than 0.15%/Gp by using real collector mirror around 125W (at I/F clean) in burst power > 10 Billion pulses operation4). Also we will update latest challenges for >250W average long-term operation with collector mirror at the conference.
REFERENCE
1) Hakaru Mizoguchi, et. al.: “Sub-hundred Watt operation demonstration of HVM LPP-EUV source”, Proc. SPIE 9048, (2014)
2) Yoichi Tanino et.al.:” A Driver CO2 Laser Using Transverse-flow CO2 Laser Amplifiers”, EUV Symposium 2013, ( Oct.6-10.2013, Toyama)
3) Hakaru Mizoguchi, et al: ” High Power HVM LPP-EUV Source with Long Collector Mirror Lifetime”, EUVL Workshop 2017, (Berkley, 12-15, June, 2017)
4) Hakaru Mizoguchi et al.:” Challenge of >300W high power LPP-EUV source with long collector mirror lifetime for semiconductor HVM”, Proc. SPIE 11323, Extreme Ultraviolet (EUV) Lithography XI (2019) [11323-28]
Successful merger of state-of-the-art, semiconductor quantum-cascade lasers (QCL), with the mature CO2 laser technology, resulted in a delivery of highly-desired qualities of CO2 laser output that were not available previously without much effort. These qualities, such as multi-line operation, excellent spectro-temporal stability and pulse waveform control, became available from a single device of moderate complexity. This paper describes the operation principle and the unique properties of the solid{state seeded CO2 laser, invented for an application in laser-produced-plasma (LPP), extreme-UV (EUV) light source.
Laser Produced Plasma (LPP) Extreme Ultra Violet (EUV) light source is expected to be used for next generation
lithography. To realize such performance for industrial use, the main driver laser is one of the key components. Our
source uses a high power pulsed carbon dioxide (CO2) laser as a plasma driver. A master oscillator and a power amplifier
(MOPA) system based on a new configuration of an RF-excited CO2 laser is the key to high efficiency. And multiline
amplification of CO2 laser is efficient to increase the extraction efficiency in the case of short pulse amplification like
this amplification. Numerical result shows the amplification enhancement as 1.3 times higher than the single line
amplification. This report shows its initial performance. Multiline configuration is applied to the master oscillator and the
efficiency of multiline amplification is verified in our experimental amplifier system. We have achieved 10% energy
extraction improvement using 2 lines (P20+P22) as compared to single line (P20).
Laser Produced Plasma (LPP) Extreme Ultra Violet (EUV) light source is expected to be used for next generation
lithography. To realize such performance for industrial use, the main driver laser is one of the key components. Our
source uses a high power pulsed carbon dioxide (CO2) laser as a plasma driver. A master oscillator and a power amplifier
(MOPA) system based on a new configuration of an RF-excited CO2 laser is the key to high efficiency. This pulsed CO2 laser system has started to operate. This report shows its initial performance. Also for a reliable industrial system, the
optical instability caused by vibration and thermal distortion of optics should be suppressed at 20 kW output level. The
primary design of key modules, such as mirrors, for the CO2 laser, and dynamic design concepts are shown in this report.
We have achieved 7.6 kW, 14 nsec, 100 kHz pulsed output in this configuration.
We reported 1st generation Laser-Produced Plasma source system "ETS" device for EUV lithography one year
ago1). In this paper we update performance status of the 1st generation system. We have improved the system
further, maximum burst power is 104W (100kHz, 1 mJ EUV power @ intermediate focus), laser-EUV conversion
efficiency is 2.5%. Also continuous operation time is so far up to 8 hours with 5% duty cycle is achieved. We have
investigated EUV plasma creation scheme by small experimental device which is facilitated 10Hz operation
(maximum). We have proposed double pulse method to create LPP plasma efficiently. This moment we found out
3.3% conversion efficiency operation condition.
Based on the engineering data of ETS and small experimental device, now we are developing 2nd generation
HVM source; GL200E. The device consists of the original concepts (1) CO2 laser driven Sn plasma, (2) Hybrid
CO2 laser system that is combination of high speed (>100kHz) short pulse oscillator and industrial cw-CO2, (3)
Magnetic mitigation, and (4) Double pulse EUV plasma creation. The preliminary data are introduced in this paper.
We evaluated basic characteristics of energetic plasma ions and neutrals, and of low-energy fragments (e.g. evaporated
material and liquid micro-droplets) from a Tin (Sn) plasma produced by a CO2 (10.6 m) or Nd:YAG (1064 nm) laser.
Experiments were performed with free-standing liquid droplet, semi-fixed liquid droplet and fixed solid droplet targets.
Characteristics of energetic plasma ions, neutrals and fragments were measured by Faraday Cups, laser-induced
fluorescence (LIF) imaging and shadowgraph imaging, respectively. The Sn ions were emitted towards the laser incident
direction with a velocity of 10 ~ 100 km/s (kinetic energy of 0.06 ~ 6 keV) and the fragments (the majority of the target
material) ejected in the same direction as laser pulse at a velocity of 10 ~ 500m/s. The neutrals were emitted in all
directions from the target with a velocity of 5 ~ 40 km/s (kinetic energy of 0.015 ~ 1 keV).
We are developing a CO2 laser driven Sn plasma light source for HVM EUVL. This source enables cost-effective high-conversion efficiency (CE >4%) and EUV power scaling. To evaluate light source characteristics we developed a metrology tool for the EUV and for the out of band (DUV, IR) wavelength region.
The EUV plasma light source emits radiation ranging from the EUV to the IR. To evaluate a particular wavelength region, spectral purity filters are used to select the region of interest. For the in-band EUV emission the power, the energy stability and the radiation profile are measured. The power is measured with an attenuating filter and a powermeter. The energy stability is measured with a filterd X-ray diode. The radiation profile is measured with a phosphor plate and a VIS-CCD camera. For the out of band emission, the radiated power is measured with an attenuating filter and a powermeter. The out of band region includes the CO2 laser which is partly scattered by the plasma and reflected towards the IF and needs therefore to be included into the measurement.
We are developing a CO2 laser driven Tin plasma EUV source for HVM EUVL. This approach enables cost-effective EUV power scaling by high-conversion efficiency and full recovery of Tin fuel. The RF-excited, multi 10 kW average power pulsed CO2 laser system is a MOPA (master oscillator power amplifier) configuration and operates at 100 kHz with 20 ns pulse width. The EUV light source is scalable to in-band 200 W IF power with a single 20-kW CO2 laser beam. EUV chamber is kept uncontaminated by using a small size droplet target and effective Tin exhaust by magnetic plasma guiding. Characterization of the plasma flow in uniform magnetic field was studied by monitoring the motion of Tin plasma stream in a large vacuum chamber, depending on the magnetic flux up to 2 T. Topics relevant for HVM source is reported on continuous operation and Tin vapor evacuation.
Krzysztof Nowak, Takashi Suganuma, Akira Endo, Akira Sumitani, Dmitri Goryachkin, Nikolay Romanov, Vladimir Sherstobitov, Lev Kovalchuk, Andrey Yu. Rodionov
Recent studies of fundamental issues of target material format and laser radiation parameters have revealed the
attractiveness of LPP EUV source technology based on Sn target and multi-kW CO2 laser driver. In recent work we
have reported 8kW of average power at 100kHz repetition frequency and 20ns pulse duration produced by our MOPA
CO2 laser driver built on a chain of Fast Axial Flow (FAF) amplifiers. However, the oscillator power is insufficient to
saturate the input stages and significant amount of available laser energy (>80%) is untapped. In this paper we report a
step towards an improvement of laser driver power and efficiency. For the first time, to our knowledge, the performance
of a novel multi-pass pre-amplifier based on RF-excited slab waveguide CO2 laser technology has been numerically
modeled. The calculations show the feasibility of this approach. We carried out amplification experiments to validate the
numerical model. In our experiments we have obtained power gain of 10 at 13-pass configuration from a slab of
60x600mm2 geometry at 20ns pulse length and 100kHz repetition frequency at diffraction-limited output and no self-oscillation.
The experiment has validated the numerical model, which will be used at this stage to design and optimize a pre-amplifier for our current FAF amplifier chain. Furthermore, these results enable us to design and optimize next generation of LPP laser driver based entirely on compact slab-waveguide amplifiers.
We are developing a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The
light source is based on a high power, high repetition rate CO2 laser (10.6μm) system, a tin (Sn) target and a magnetic
ion guiding for Sn treatment. We evaluated the characteristics of Sn debris generated by a CO2 laser produced plasma.
Experiments were performed with bulk Sn-plate targets and Mo/Si multilayer mirror samples were used for debris
analysis. We observed very thin and uniform Sn layers of nano/sub-nano size debris particles. The layer deposition rate
at 120mm from the plasma is, without magnetic field, about 30nm per million shots. The fast Sn ion flux was measured
with Faraday cups and the signal decreased by more than 3 orders of magnitude on application of a magnetic field of 1T.
The Sn deposition on the Mo/Si multilayer mirror decreased in small magnetic field space by a factor of 5. In a large
magnetic field space, the effectiveness of the magnetic guiding of Sn ions is examined by monitoring the fast Sn ions.
The ion flux from a Sn plasma was confined along the magnetic axis with a maximum magnetic field of 2T.
We are developing a high power CO2 laser system for a LPP EUV light source. Recent theoretical and experimental data
demonstrate the advantages of the combination of a CO2 laser with a Sn target including the generation of a high CE and
low debris plasma with low energy ions and low out-of-band radiation. Our laser system is a short pulse CO2 MOPA
(Master Oscillator Power Amplifier) system with 22 ns pulse width and multi kW average power at 100 kHz repetition
rate. We achieved an average laser power of 8 kW with a single laser beam having very good beam quality. A EUV in-band
power of 60 W at the intermediate focus was generated irradiating a rotating tin plate with 6 kW laser power.
We evaluated the characteristics of Sn debris generated by a CO2 laser (10.6μm) produced plasma. Experiments were
performed with bulk Sn-plate targets and Mo/Si multilayer mirror samples were used for debris analysis. We observed
very thin and uniform Sn layers of nano/sub-nano size debris particles. The layer deposition rate at 120mm from the
plasma is, without magnetic field, about 30nm per million shots. The fundamental magnetic field effect has been
confirmed experimentally. The fast Sn ion flux was measured with Faraday cups and the signal decreased by more than 3
orders of magnitude applying a magnetic field of 1T. The Sn deposition on the Mo/Si multilayer mirror decreased in this
case by a factor of 4. The contribution of the remaining neutral Sn particles is under study in order to decrease the
deposition rate.
We are developing a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The
light source is based on a high power, high repetition rate CO2 laser system, a tin target and a magnetic ion guiding for
tin treatment. The laser system is a master oscillator power amplifier (MOPA) configuration. We have achieved an
average laser output power of 10 kW at 100 kHz by a single laser beam with good beam quality. EUV in-band power
equivalent to 60 W at intermediate focus was produced by irradiating a tin rotating plate with 6 kW laser power. This
light source is scalable to more than 200 W EUV in-band power based on a 20-kW CO2 laser. Collector mirror life can
be extended by using droplet target and magnetic ion guiding. Effectiveness of the magnetic ion guiding is examined by
monitoring the motion of fast Sn ion in a large vacuum chamber with a maximum magnetic flux density of 2 T.
A laser produced plasma light source for a small field exposure tool (SFET) has been developed at the EUVA Hiratsuka
R&D center. The light source consists of the following components: The drive laser of the xenon plasma source is a
short-pulse, high-power KrF laser that has been developed in cooperation with Gigaphoton Inc. and Komatsu Ltd. The
laser has an unstable resonator and produces a maximum output power of 580W at 4kHz repetition rate. The xenon
target is a 50 micrometer diameter liquid jet with a speed of about 35 m/s. The source has been designed to generate
0.5W in-band power at the intermediate focus (IF) at a collecting solid angle of pi sr. The source includes automatic
control, e.g. jet and plasma position control, and an electrical interface for the exposure tool. The performance of the
source at IF has been evaluated by Canon Inc. This paper explains source performances. Especially, results of IF
parameters like image size, position stability and out of band radiation are presented.
A small field exposure tool (SFET) is currently being built in Japan by the Extreme Ultraviolet Lithography System Development Association (EUVA) and Canon Inc. The laser plasma light source of SFET has been developed at the EUVA Hiratsuka R&D center. The drive laser of the xenon plasma source is a short-pulse, high-power KrF laser, that has been developed in cooperation with Gigaphoton Inc. and Komatsu Ltd. The laser has a maximum output power of 580W at 4kHz repetition rate. The xenon target is a 50 micrometer diameter liquid jet with a speed of about 30 m/s. The source has been designed to generate 0.5W in-band power at the intermediate focus at a collecting solid angle of pi sr. The set-up of the source at the Hiratsuka R&D center has been completed and the source is now being evaluated.
A CO2 laser driven Xe droplet plasma is presented as a light source for EUV lithography. A short-pulse TEA CO2 master oscillator power amplifier system and a pre-pulse Nd:YAG laser were used for initial experiment with 0.6% of CE from a Xe jet. A target technology is developed for high average power experiments based on a Xe droplet at 100kHz. Magnetic field ion mitigation is shown to work well in the pre-pulsed plasma combined with a CO2 laser main pulse. This result is very promising with respect to collector mirror lifetime extension by magnetic field mitigation. A master oscillator power amplifier (MOPA) CO2 laser system is under development with a few kW and 100 kHz repetition rate with less than 15ns laser pulse width using a waveguide Q-switched CO2 laser oscillator and RF-excited fast axial flow CO2 laser amplifiers.
The status of the next generation lithography laser produced plasma light source development at EUVA is presented. The light source is based on a Xenon jet target and a Nd:YAG driver laser. The laser, having a master oscillator power amplifier (MOPA) configuration, operates at 10 kHz repetition rate and generates an average output power of 1.5 kW. The fwhm pulsewidth is 6 ns. The EUV system currently delivers an average EUV source power of 9.1 W (2% bandwidth, 2π sr) with a conversion efficiency of 0.6 %. Based on the development it is concluded that solid-state Nd:YAG laser technology can be cost efficiently used to produce 10 W level EUV light sources. In order to generate an average power of 115 W for a future extreme ultraviolet (EUV) light source, however, the cost of a Nd:YAG based LPP source will be too high. Therefore RF-CO2 laser technology will be used. The designed CO2 driver laser system has a MOPA configuration. The oscillator has ns-order pulsewidth and the laser system operates at a repetition rate of 100 kHz. Due to its inert cleanliness Xenon droplets will be the target material.
Fast ions from laser-produced EUV plasma are expected to significantly damage the collector mirror, which is located near the plasma in a EUV light source. Ion sputtering of the multilayer structure may be the main damage mechanism but layer boundary mixing and surface roughness increase are also observed from a Xe plasma exposure experiment. The magnetic field ion mitigation technology was evaluated in order to extend the collector mirror lifetime. A coil pair that produces a maximum static magnetic field of 0.6 T on the coil axis was used for magnetic confinement of ions. Liquid Xe jets of 10 to 30 micron mater in diameter were used as a plasma target. Spatial distributions and energy distributions of ions were measured with Faraday cups and time-of-flight measurements respectively. The effectiveness of the magnetic field ion mitigation was evaluated by measuring the erosion rate with a quartz crystal microbalance. A significant decrease of the Faraday cup signal was monitored by applying a magnetic field of 0.6 T. Though target size dependence on magnetic field effectiveness was observed, measured erosion rate was reduced to less than 10% by applying 0.6-T magnetic field in the case of 10-micron mater Xe jet and 300-mJ Nd:YAG laser irradiation.
We developed the elemental technologies to construct a 1-kW, 10-kHz thin-disk laser. We obtained the wellpolarized CW output of over 900 W without any compensation optics of the birefringence error. The extinction ratio of output was over 1:140. We developed and installed the water-cooled Pockels cell into the CW laser cavity to act as a polarization rotator by applying a DC-voltage to the cell. We confirmed that the cooled cell did not create distinguished birefringence and thermal lensing over 900-W operation.
The deforming of thin-disk at high power operation was estimated by using a commercial M2 meter. We optimized the cavity configuration from the estimation results to obtain high beam quality. After the optimization, the M2 values kept up to 3.5 until the output reached to 400 W. At the 500-W output, the M2 in vertical and horizontal plane were 3.65 and 3.02, respectively.
The main technological challenge of a future extreme ultraviolet (EUV) light source is the required average power of 115W at the intermediate focus. High repetition rate laser produced plasma (LPP) sources are very promising to face this challenge. We report the current status of the laser produced plasma light source system under development at EUVA. The system consists of the following main components: The plasma target is a liquid xenon jet with a maxium diameter of 50 micrometer and a velocity of more than 35m/s. A Nd:YAG laser oscillating at 1064 nm produces the plasma. The laser is a master oscillator power amplifier (MOPA) configuration with a maximum repetition rate of 10 kHz and an average power of 1 kW. The EUV system currently delivers an average EUV in-band power of 4 W (2% bandwidth, 2π sr) having a stability of 0.54% (1σ, 50-pulse moving average). In order to evaluate a further increase of the repetition rate, xenon jet characteristics and EUV plasma images have been investigated at 10 kHz. In addition, a conversion efficiency of 0.74% (2% bw, 2π sr) has been obtained at low repetition rate operation. This paper presents the progress of our LPP light source development.
The collector mirror lifetime of a future extreme ultraviolet lithography light source system is an important development issue. Beside vacuum cleanliness and heat load, fast ions are especially critical in case of laser-produced plasmas causing quick degradation of the multilayer structure of near normal incidence collector mirrors. We are currently developing a light source system based on a laser-produced plasma for next generation lithography. The plasma target is a liquid xenon jet. Energy distributions of fast xenon ions from the laser-produced plasma have been measured by time-of-flight (TOF) experiments. Two low repetition rate Nd:YAG lasers at 1064 nm with pulse lengths of 8 ns and 150 ps have been used for plasma generation and mean ion energies of 3 keV and 7 keV have been measured, respectively. In addition, the effects of fast ions on Mo/Si multilayer mirrors have been studied using a Xe ion gun. Ion sputtering of the multilayer structure is the main damage mechanism but boundary layer mixing and increased surface roughness are also observed.
The plasma emission of tin, aluminum and cupper targets irradiated with laser intensities ranging from 1011 to 1016 W/cm2 has been measured beween 7nm and 18 nm. A chirped pulse amplified Ti:Sapphire laser oscillating at 790- nm with either 100 fs or 300 ps pulse duration and a Nd:YAG laser oscillating at 1064 nm with 10 ns pulse duration (fwhm) have been used. The observed plasma emission was strongest for the 300 ps laser pulse irradiation, which might be due to the additional laser plasma heating during plasma formation.
Collector mirror lifetime evaluation and damage prevention are important technical challenge for the EUV light source
development. High-energy xenon ions emitted from laser-produced EUV plasmas are expected to considerably damage
the collector mirror of the light source. Related to future collector mirror lifetime considerations, fast ions from the laserproduced
plasma have been characterized by time-of -flight (TOF) measurements. Using a low repetition rate 8-ns, 100-
mJ Nd:YAG laser, Xe+ to Xe6+ ions were observed with Xe2+ being the main charge state. In addition, the effects of fast
ions on Mo/Si multilayer mirrors have been studied using a Xe ion gun. Ion sputtering of the multilayer structure is the
main damage mechanism but layer boundary mixing and surface roughness increase are also observed. A magnetic
confinement scheme is evaluated for ion mitigation.
The main technological challenge of a future extreme ultraviolet (EUV) light source is the required average power of
115W at the intermediate focus. High repetition rate laser produced plasma (LPP) sources are very promising to face
this challenge. We report the current status of the laser produced light source system we started to develop in 2002. The
system consists of the following main components: The plasma target is a liquid xenon jet with a maximum diameter of
50 micrometer and a velocity of more than 30 m/s. A Nd:YAG laser oscillating at 1064 nm produces the plasma. The
laser is a master oscillator power amplifier (MOPA) configuration with a maximum repetition rate of 10 kHz and an
average power of 1kW. The EUV system currently delivers an average EUV in-band power of 4 W (2% bandwidth, 2π
sr) having a stability of 0.54 % (1σ, 50-pulse moving average). In order to evaluate a further increase of the repetition
rate, xenon jet characteristics and EUV plasma images have been investigated at 10 kHz. In addition, a conversion
efficiency of 0.67% (2% bw, 2π sr) has been obtained at low repetition rate operation. This paper presents the progress
of our LPP light source development.
Important design factors are evaluated for a high average power, clean EUV light source by laser produced plasma. The basic requirements are high average power, high stability, and long lifetime, and these are closely relating with absorption loss by xenon, repetition rate, and fast ion generation. These subjects are evaluated based on experimental data and analytical model of a laser produced xenon plasma.
Various solid materials have been irradiated with laser intensities ranging from 1011 to 1016 W/cm2 and the plasma emission has been measured between 7 nm and 18 nm. A chirped pulse amplified Ti:Sapphire laser oscillating at 790 nm with either 100 fs or 300 ps pulse duration and a Nd:YAG laser oscillating at 1064 nm with 10 ns pulse duration (fwhm) have been used. Tin, aluminum and copper have been chosen as targets. It has been found that the plasma emission was strongest for the 300 ps laser pulse irradiation. This might be due to the additional laser plasma heating during plasma formation.
The Extreme UV Lithography System Development Association (EUVA) was established in Japan in May 2002 and is supported by the Ministry of Economy, Trade and Industry (METI). EUVA started the light soruce development in September 2002. This development is done by the assocaition members Gigaphoton, Ushio, Komatsu, Canon, Nikon, the National Institute of Advanced Industrial Sciecne and Technology (AIST) and several Japanese universities. The target of the four-year project is the development of a EUV light source with 10W clean focus point power. For the end of the fiscal year 2003 the development of a 4W EUV light source (clean focus point power) is planned. Both, Laser-Produced-Plasma (LPP) and Discharge-Produced-Plasma (DPP) EUV light sources are investigated at first. Our group at the EUVA Hiratsuka R&D Center is working on LPP sources. We are currently focusing on the development of a driver laser and a liquid Xenon plasma target. The laser is a Nd:YAG MOPA (Master Oscillator and Power Amplifier) system oscillating at 1064 nm. Average power, repetition rate and pulse duration of the laser system are 500 Watt, 10 kHa and 30nsec, respectively. The Xenon liquefication system operates at a maximum pressure of 5MPa and a temperature range between 160 K and 190 K. The pressure inside the vacuum chamber is below 0.1Pa during system operation. This paper presents the current status of the EUV system component development as well as first experimental results of generated EUV radiation.
The roadmap of semiconductor fabrication predicts that the semiconductor market will demand 65 nm node devices from 2004/2005. Therefore, an Ultra-Line-Narrowed F2 laser for dioptric projection systems is currently being developed under the ASET project of The F2 Laser Lithography Development Project. The target of this project is to achieve a F2 laser spectral bandwidth below 0.2 pm (FWHM) and an average power of 25 W at a repetition rate of 5 kHz. The energy stability (3-sigma) target is less than 10%. An Oscillator-Amplifier arrangement at 2 kHz was developed as a first step of an Ultra-Line-Narrowed F2 laser system. With this laser system, we did the basic study of the synchronization technology for line narrowing operation using two system arrangements: MOPA (Master Oscillator/Power Amplifier) and Injection Locking. Based on this experience we have developed the 5 kHz system. With the 5 kHz Line-Narrowed Injection Locking system, we have achieved a spectral bandwidth of < 0.2 pm with an output energy of > 5 mJ and an energy pulse to pulse stability of 10%. The feasibility of a 5 kHz Ultra-Line-Narrowed F2 Laser for Dioptric Projection Systems has been demonstrated.
The roadmap of semiconductor fabrication predicts that the semiconductor market will demand 65 nm node devices from 2004/2005. Therefore, an Ultra-Line-Narrowed F2 laser for dioptric projection systems is being developed under the ASET project 'The F2 Laser Lithography Development Project.' The target of this project is to achieve a F2 laser spectral bandwidth below 0.2 pm (FWHM) and an average power of 25W at a repetition rate of 5 kHz. Accurate measurements of the laser spectrum and of the laser wavelength stability are therefore very important. We therefore developed a VUV wavemeter with a Br-lamp to measure the absolute F2 laser wavelength. We obtained 157.631 nm for the main F2 laser transition using the Br-lamp reference lines at 157.4840 nm and 157.6385 nm. We have also developed a VUV high-resolution spectrometer to measure spectral profiles, which was calibrated by 157 nm coherent light source (157CLS). The 157CLS is a very narrow line-width, which can be approximated by delta function. The 157CLS had a line-width of 0.008 pm (Full-Width-At-Half- Maximum, FWHM) and a power of 0.1 mW. The instrument function of the high-resolution spectrometer measured by the 157CLS was 0.10 pm (FWHM). As a result, the deconvolved FWHM of the ultra-line narrowed F2 laser is 0.12 pm, the deconvolved spectral purity containing 95% of the total energy (E95) was less than 0.45 pm.
Deep UV lithography using ArF excimer laser requires very narrower spectral properties. However, spectrometers that have sufficient resolution to evaluate the ArF excimer laser are commercially not available. High-resolution multi-grating spectrometers for measuring spectral bandwidth at full width at half maximum (FWHM) and spectral purity of ArF excimer lasers are introduced. To achieve high resolution, a special grating arrangement called HEXA (Holographic and Echelle Gratings Expander Arrangement) is designed. A holographic grating and an echelle grating are used so that the input light is expanded and diffracted several times. The resolution of the HEXA spectrometer is more than two million. To evaluate the resolution and the stability of the spectrometer, we measured the instrument function by a coherent light source whose wavelength is same as ArF excimer laser. The experimentally obtained resolution of the spectrometer is 0.09pm or 0.05pm that is selectable. The measured dispersion has a good agreement with the theoretical value. To evaluate the spectral properties of excimer lasers, the instrument function must be very stable. This high-resolution spectrometer enables high quality control of line-narrowed ArF excimer laser mass production.
F2 lasers are the light source of choice for microlithographic tools enabling structures below the 70 nm technology node. Accurate measurements of the spectrum of F2 lasers are therefore very important. We have succeeded in measuring the spectrum of an ultra line narrowed F2 laser using a VUV grating spectrometer calibrated with a 153 nm coherent light source (153CLS). As a first step in the development of a 157 nm coherent light source (157CLS), the less complex 153CLS has been built. Using resonant two-photon processes and four-wave mixing in Xe, this method provided a tunable laser system with high conversion efficiency and a very narrow linewidth, which can be approximated by a (delta) function. The 153CLS included a pulsed, single-mode tunable Ti:sapphire laser (768.0 nm), a third harmonic generation unit (256.0 nm) and an Xe gas cell. The 153CLS had a linewidth of 0.007pm (FWHM) and a power of 0.05mW at 1000 Hz. The VUV grating spectrometer and a Michelson interferometer for F2 lasers have also been developed. The instrument function of the spectrometer has been measured with the 153CLS. Experimental and theoretical instrument functions were in good agreement (FWHM: 0.30pm). The instrument function at 157 nm was therefore estimated to have the theoretical FWHM of 0.31 pm. The spectral linewidth of the line-selected F2 laser has been measured under various laser conditions with the spectrometer as well as with the interferometer. Results show good agreement between both measurements. The spectrum of the ultra line narrowed F2 laser was measured with the VUV grating spectrometer calibrated using the 153CLS. The laser's FWHM of the deconvolved spectrum was 0.29pm. The deconvolved spectral purity containing 95% of the total laser energy is less than 0.84pm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.