The high volume device manufacturing infrastructure for the 22nm node and below based on EUVL technology requires
defect-free EUV mask manufacturing as one of its foundations. The EUV Mask Infrastructure program (EMI) initiated
by SEMATECH has identified an actinic measurement system for the printability analysis of EUV mask defects to
ensure defect free mask manufacturing and cost-effective high-volume EUV production as an infrastructural prerequisite
for the EUVL roadmap ([1], [2]).
The Concept and Feasibility study for the AIMSTM EUV resulted in a feasible tool concept for 16nm defect printability
review. The main development program for the AIMSTM EUV has been started at Carl Zeiss leading to a commercialized
tool available in 2014.
In this paper we will present the status of the progress of the design phase of this development and an infrastructure
progress update of the EUV Mask defect printability review.
EUV mask infrastructure is of key importance for the introduction of the 13.5nm extreme ultraviolet (EUV) wavelength
into volume production. In particular, the manufacturing of defect free masks is essential and requires a printability
analysis ("review") of potential defect sites. For this purpose, Carl Zeiss and the SEMATECH EUVL Mask
Infrastructure consortium have performed a concept and feasibility study for an actinic aerial image metrology system
(AIMS™). In this paper, we discuss the main results of this study. We explain the system concept, discuss the expected
performance and show simulations of the capability to find minimum sized defects. We demonstrate that our EUV AIMS
concept is technically feasible and supports the defect review requirements for the 22nm and 16nm half-pitch (hp) node.
On the road to and beyond the 22nm half-pitch on chip patterning technology, 13.5nm EUVL is widely considered the
best next technology generation following deep ultraviolet lithography. The availability of an actinic measurement
system for the printability analysis of mask defects to ensure defect-free mask manufacturing and cost-effective high-volume
EUV production is an infrastructural prerequisite for the EUVL roadmap and represents a significant step toward
readiness for commercialization of EUV for high-volume-manufacturing .
Carl Zeiss and SEMATECH's EUVL Mask Infrastructure (EMI) program started a concept study and feasibility plan for
a tool that emulates the aerial image formed by a EUV lithography scanner supporting the 22 nm half-pitch node
requirements with extendibility to the 16nm half-pitch node. The study is targeting a feasible concept for the AIMSTM EUV platform, bridging a significant gap for EUV mask metrology.
Moving forward to 32nm node and below optical lithography using 193nm is faced with complex requirements to be
solved. Mask makers are forced to address both Double Patterning Techniques and Computational Lithography
approaches such as Source Mask Optimizations and Inverse Lithography. Additionally, lithography at low k1 values
increases the challenges for mask repair as well as for repair verification and review by AIMSTM. Higher CD
repeatability, more flexibility in the illumination settings as well as significantly improved image performance must be
added when developing the next generation mask qualification equipment. This paper reports latest measurement results
verifying the appropriateness of the latest member of AIMSTM measurement tools - the AIMSTM 32-193i.
We analyze CD repeatability measurements on lines and spaces pattern. The influence of the improved optical
performance and newly introduced interferometer stage will be verified. This paper highlights both the new Double
Patterning functionality emulating double patterning processes and the influence of its critical parameters such as overlay
errors and resist impact. Beneficial advanced illumination schemes emulating scanner illumination document the
AIMSTM 32-193i to meet mask maker community's requirements for the 32nm node.
The AIMTM45-193i is the established tool for mask performance qualification and defect printing
analysis in the mask shop under scanner conditions. Vector effects are taken into account by the
proprietary Zeiss vector effect emulator. In several studies an excellent correlation to wafer prints has
been reported. However, a systematic offset to wafer prints in terms of mask error enhancement factor
(MEEF) and exposure latitude has been observed which is attributed to well known resist effects.
The AIMSTM measures the aerial image in resist whereas in a real lithography process further image blur
of the latent image is caused by photo acid diffusion during wafer processing and resist development. To
explain the gap between the AIMTM and wafer prints we have investigated aerial images in combination
with an easy to use resist model. It does take resist effects into account with sufficient accuracy to
explain printing behavior of photo masks but without the need to calibrate lots of parameters of the
actually used resist which usually are not known to a mask shop.
The resist effects predominantly reduce the image contrast and thus increase the MEEF and the
sensitivity to mask defects. This somewhat counterintuitive behavior is labeled "contrast enhancement
by contrast reduction". Additionally application of the resist model improves the agreement of e.g. the
exposure latitude or MEEF measured by the AIMSTM compared to wafer prints.
Recently more and more mask designs for critical layers involve strong OPC which increases the complexity for standard
CD SEM mask measurements and conclusive interpretation of results. For wafer printing the wafer level CD is the
crucial measure if the mask can be successfully used in production. Recent developments in the AIMSTM software have
enabled the user to use the tool for wafer level CD metrology under scanner conditions. The advantage of this
methodology is that AIMSTM does see the CD with scanner eyes. All lithographic relevant effects like OPC imaging
which can not be measured by other tools like mask CD SEM will be captured optically by the AIMSTM principle.
Therefore, measuring the CD uniformity of the mask by using AIMSTM will lead to added value in mask metrology. With
decreasing feature sizes the requirements for CD metrology do increase. In this feasibility study a new prototype
algorithm for measuring the lithographically relevant AIMSTM CD with sub pixel accuracy has been tested. It will be
demonstrated that by using this algorithm line edge and line width roughness can be measured accurately by an AIMSTM
image. Furthermore, CD repeatability and tool matching results will be shown.
This paper studies the application of resist models to AIMSTM images. Measured AIMSTM data were coupled with
resist simulations of the Fraunhofer IISB research and development lithography simulator Dr.LiTHO and with a
compact resist model developed by Carl Zeiss SMS. Through-focus image data of the AIMSTM are transformed into a
bulk image--the intensity distribution within the resist. This bulk image is used to compute the concentration of photo-acid
after exposure and the following resist processing. In the result a resist profile is obtained, which can be used to
extract the printed wafer linewidth and other data. Additionally, a compact resist model developed by Carl Zeiss SMS
was directly applied to the AIMSTM data. The described procedures are used to determine dose latitudes for lines and
spaces with different pitches. The obtained data are compared to actual wafer prints for a 1.2 NA system.
Mask manufacturing for the 45nm node for hyper NA lithography requires tight defect and printability control at small
features sizes. The AIMSTM1 technology is a well established methodology to analyze printability of mask defects,
repairs and critical features by scanner emulation. With the step towards hyper NA imaging by immersion lithography
the AIMSTM technology has been faced with new challenges like vector effects, polarized illumination and tighter specs
for repeatability and tool stability.
These requirements pushed the development of an entirely new AIMSTM generation. The AIMSTM 45-193i has been
designed and developed by Carl Zeiss to address these challenges. A new mechanical platform with a thermal and
environmental control unit enables high tool stability. Thus a new class of specification becomes available. The 193nm
optical beam path together with an improved beam homogenizer is dedicated to emulate scanners up to 1.4 NA. New
features like polarized illumination and vector effect emulation make the AIMSTM 45- 193i a powerful tool for defect
disposition and scanner emulation for 45nm immersion lithography.
In this paper results from one of the first production tools will be presented. Aerial images from phase shifting and
binary masks with different immersion relevant settings will be discussed. Also, data from a long term repeatability
study performed on masks with programmed defects will be shown. This study demonstrates the tool's ability to
perform defect disposition with high repeatability. It is found that the tool will fulfill the 45nm node requirements to
perform mask qualification for production use.
Hyper-NA lithography with polarized light illumination is introduced as the solution of 45nm or 32nm node
technology. In that case, consideration of new characteristics of mask materials and pellicle films has been required. In
order to analyze the influence of mask material's optical characteristics, we have proposed to use the AIMSTM system
measuring diffraction intensity balance in previous work. That was enabled by acquiring pupil plane images using the
Bertrand lens in the AIMSTM system to measure selected area's diffracted light.
In this study of mask material evaluation, we used same functionality of AIMSTM system, MonoPole illumination
and Bertrand lens, as previous work but other direction's pole is also used on the illumination aperture to cover total
diffraction orders of Cross-quad illumination because this illumination is more flexible for x and y patterns. In order to
get diffracted light of 45nm half-pitch, hyper-NA e.g. NA=1.35 was applied and the AIMSTM 45-193i Alpha system was
used for this evaluation. The examinations were performed with binary and half tone PSM with half pitch 40 to 150nm
on a 1x scale and fixed half pitch 45nm with various mask bias. We confirmed the relation between diffractions' intensity
balance and wafer printing performance for each material and we compared them to 3D simulation results.
Moreover, by using the same functionality of AIMSTM system, the transmission change by pellicle film was also
examined. We have prepared two different thickness pellicles to compare transmission change and printed CD on the
wafer. Intensity profile at pupil plane on the clear region of the mask was acquired with Bertrand lens and conventional
large sigma setting for both with and without pellicle film on the mask. By comparing transmission distribution change
between with and without pellicle, we could calculate transmission loss by pellicle at large incident angles. For this
experiment, NA=1.40 was applied and the AIMSTM 45-193i Alpha system was also used. The examinations were
performed with half tone PSM at half pitch 45nm and 65nm on a 1x scale on linear polarized DiPole illumination.
As a result, we have confirmed good agreement between AIMSTM measurement data and optical 3D simulations. In
conclusion, the AIMSTMsystem is a valuable tool for analyzing diffraction efficiency or intensity distribution on the
pupil plane and comparison to wafer printing performance.
Immersion lithography offers the semiconductor industry the chance to extend the current ArF processes to smaller
nodes before switching to a shorter wavelength. The move to immersion will require increased attention to the
photomask along with new effects influencing the aerial image formation as the numerical apertures (NA) of scanners
move up to at least 0.93 and even higher. Feature sizes on the photomask become comparable or even smaller than the
wavelength and hence act more like wire grid polaris ers which lead to polarisation effects. As of today AIMSTM fab
tools are in operation worldwide. The novel AIMSTM fab 193i tool with a maximum NA of 0.93 is the latest aerial image
measurement system for ArF-lithography emulation down to the 65nm node. Common adjustments are numerical
aperture, illumination type and partial illumination coherence to match the conditions in 193nm scanners. In addition to
non-polarized illumination, the AIMSTM fab 193i allows the user to select linear x and y polarised light for different
settings and types, e.g. off-axis annular, quadrupole or dipole illumination. In this paper the polarisation effects of
different photomask features are explored by comparing measurement results using linear polarised illumination parallel
and perpendicular to line and space patterns and non-polarised illumination. Different MoSiON embedded phase shift
masks have been investigated at the highest possible NA=0.93 and for different half-pitches from 500nm to 260nm, the
latter corresponding to the 65nm node at the wafer level.
Immersion lithography offers the semiconductor industry the opportunity to extend current ArF processes before switching to shorter wavelengths. As numerical apertures of scanners for hyper NA move above 1.0 with immersion lithography, increased attention must be paid to the photomask or reticle and its wafer printability. Feature sizes on the photomask become increasingly critical as they behave more like partial wire grid polarisers, as they become comparable to, or smaller than the wavelength. Besides challenges to address reticle polarisation effects, lithographers must also consider the polarisation state of the illumination and subsequently the contrast loss for light with a TM polarisation state. Such an effect, also called the vector effect, is caused by the increasing angle of incidence of the diffracted light for larger numerical apertures on the scanner. Therefore, for wafer printing using hyper NA scanners, the industry consensus is that TE polarised illumination must be used to meet the stringent requirements of imaging contrast.
In this paper, initial results of measurements using the optical test stand and the alpha tool of a completely new AIMSTM tool for the 45nm node will be presented. The system covers all aspects of immersion and polarisation lithographic emulation. Measurements have been made on binary and phase shift masks with different sizes of features and on programmed defects.
Immersion lithography offers the semiconductor industry an opportunity to extend the current ArF processes to smaller nodes before switching to a shorter wavelength. The transition to immersion will require increased attention to the photomask along with new effects influencing the aerial image formation as the numerical apertures (NA) of scanners move up to at least 0.93 and beyond. Feature sizes on the photomask become comparable to, or even smaller than the wavelength and hence act more like wire grid polarisers which lead to polarisation effects. As of today AIMSTM fab tools are in operation worldwide, with the novel AIMSTM fab 193i offering a maximum NA of 0.93 and is the latest aerial image measurement system for ArF-lithography emulation down to the 65nm node. Common adjustments include numerical aperture, illumination type and partial illumination coherence to match the conditions in 193nm scanners. In addition to unpolarised illumination, the AIMSTM fab 193i allows the user to select linear x and y polarised light for different settings and types, e.g. off-axis annular, quadrupole or dipole illumination. In this paper the polarisation effects of different photomask features are explored by comparing measurement results using linear polarised illumination parallel and perpendicular to line and space patterns and non-polarised illumination. A new scanner mode will be presented for the investigation of contrast loss due to polarisation effects from imaging.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.