To fully characterize the lithography process, it is critical to have accurate CD and profile of photo
resist structure at ADI stage. Traditionally, CDSEM can only provide limited profile information, and
is extremely challenged to be integrated for real-time in line wafer level process control because of
throughput issue. Over the past few years, optical digital profilometry (ODP(R)) developed by Timbre
Technologies, Inc., has been adopted for real-time process control in Litho for optical CD and shape
monitoring. In this paper, the integrated ODP(R) reflectometer is applied to study process signatures of
3D complicated ADI and AEI structures of a 70nm DRAM process. The DT structures from the 70nm
node process studied in this paper, are elliptical photo resist via developed over a thin film stack at
ADI, and via etched deeply through the thick (over 5um) dielectric film at AEI. At ADI, the ODP(R)
library is qualified by careful cross check with CDSEM data. CD results from iODP(R) show very good
correlation to that from CDSEM. The iODP® measurement for a FEM wafer shows smoother and
cleaner Bossung curves than the CDSEM does. At AEI, the library is then qualified for top CD
measurement in comparison to CDSEM, and also to results at ADI. With implementing iODP(R)
measurements into both ADI and AEI structures, their signature patterns from ADI to AEI for 70nm
DT process can be matched successfully. Such a signature pattern match indicates the strong
correlation between ADI and AEI processes and can be fully made use of for APC. It is a significant
step toward IM APC control considering ADI and AEI process steps together.
Historically, in a volume production environment, process induced variation in optical property (n&k) of film stack was
not significant for the most of applications using scatterometry. Many papers presented before addressed the CD variation
in the production by adopting the fixed optical property approach [1-8]. However, with shrinkage of device size, and
introduction of new material and process, n&k variation of some critical layers can not be ignored. In this paper, it presents
impacts on measured optical CD due to n&k variation of one critical film in a 70nm DRAM ArF lithography process at a
patterned area (A-layer). A solution to minimize the impacts using floating n&k in the scatterometry model is discussed,
developed and verified.
KEYWORDS: Transmission electron microscopy, Copper, Etching, Reflectometry, Metrology, Chemical mechanical planarization, Critical dimension metrology, Back end of line, Photomasks, Metals
In this paper, a scatterometry software named ODP(R) by Timbre Technologies was used to develop
BEOL applications to measure the trench and complicated dual damascene structures. Diffraction
spectra were collected with Nanometrics normal incidence polarized reflectometer system in the
wavelength range of 220~800nm. The measured spectra were analyzed and used as target spectra by
ODP-PAS(R) system. Then the associated models were built to generate the simulated spectra which
were used to match the measured spectra. We studied four different structures related to the post
trench-and-via etch and post copper CMP processes, including two two-dimensional (2D) line\space
structures and two three-dimensional (3D) trench-over-via dual damascene structures. Cross-section
TEM (transmitted electron microscopy) measurements were performed to evaluate the performance
of ODP measurements. The results show that the correlation between TEM and ODP of CD
measurements is good, and the correlation between TEM and ODP of the trench depth
measurements is also good. ODP is able to measure the trench and complicated dual damascene
structures and further to be used to optimize the process conditions.
With shrinkage of device size, metrology requirements for Critical Dimension (CD), as defined as the ratio of precision of metrology to process tolerance (P/T), must meet the 0.1 (10%) or 0.2 (20%) criterion.[1][2][14] The precision requirement for gate CD at the 90nm node is thus ~ 0.3nm or less with P/T of 10%, which is far beyond what traditional CD metrology can achieve today. At future nodes, this requirement becomes even tougher, even with P/T of 20%. For years, scatterometry has demonstrated its capability to determine CD and cross sectional profile over periodically aligned line and space (i.e. grating) structures with superior precision. However, to gauge the true capability of scatterometry for process monitoring, the concept of Total Measurement Uncertainty (TMU)[11] of scatterometry in reference to CD-SEM and CD-AFM should be implemented since TMU comprehends both precision and accuracy relative to a reference measurement system. The methodology of implementation of TMU has been discussed in a separate article.[1][12][14][15][17] This paper presents a systematic study on TMU of scatterometry for Final Inspect (FI, post-etch) gate CD and profile, and includes a discussion on how the TMU may be further reduced. One potential option is to feed forward film stack information into the profile modeling, which reduces the number of parameters that have to be calculated during the real-time regression of the scatterometry data.
KEYWORDS: Scatterometry, Optical proximity correction, Scanning electron microscopy, Data modeling, Scatter measurement, Semiconducting wafers, Process modeling, Lithography, Calibration, Time metrology
Evaluation and qualification of lithographic exposure tools is a crucial step in establishing high volume manufacturing processes for IC manufacturers. The data sampling offered by scatterometry can be as dense as that from ECD (electrical CD) for the qualification of the tool. In this paper, the CDs obtained from scatterometry measurements are compared with those obtained by ECD (electrical CD) measurements to show the cross-slit and cross-scan tool characteristics. Since scatterometry is still an order of magnitude slower than ECD, data from various sampling plans will be compared. Another important consideration of this study is to use scatterometry to generate OPC (optical proximity correction) models for the 45nm and 32nm nodes. An accurate measurement of the process to fit the model becomes very crucial in the very deep sub-micron regime. Currently, SEM measurements are performed but they are slow and their precision is not adequate. In this paper, scatterometry measured data will also be compared with SEM data for OPC model fit.
Spectra of contact hole arrays with target diameters ranging from 106 to 131 nm and pattern pitch ranging from 220 to 300 nm are taken from an off-axis (65°) rotating compensator spectroscopic ellipsometry (RCSE).[1] 3-dimensional finite difference (FD3D) model developed by H. Chu,[2] is applied in the studies. To ensure accuracy of optical dispersion of each film, the simultaneous use of angle resolved beam profile reflectometry (BPR), broadband spectroscopic reflectometry (BB), and SE of an Opti-Probe 7341 are used for characterizing of the resist and BARC films. In particular, The extracted n&k dispersions are used to model the contact hole SE data using Therma-Wave's proprietary 3-dimensional RT/CD technology.[3,4] The performance of stability of both static and dynamic repeatability, uniformity, and correlation to other independent technology (i.e., SEM) will be presented in this paper.
KEYWORDS: Critical dimension metrology, Dispersion, Process control, Optical properties, Standards development, Data modeling, Metrology, Very large scale integration, Lithography, Thin films
Shrinkage of device dimensions requires tighter lithography process control. Current levels of Process Control leave less than 0.5 nm budget for CD metrology. An accurate and stable metrology solution requires measurement of CD and profile that are critically dependent on thin film material characterization at various earlier process stages. Opti-Probe integrates five different technologies into a single platform to accurately characterize optical properties of 193 nm materials. Real-time CD (RT/CD) technology utilizes four independent spectra collected from the samples using a rotating-compensator spectroscopic ellipsometer (RCSE) and analyzes the spectra with an innovative numerical solution-finding approach to construct detailed CD and profile of printed features in a 2- and 3 Dimensional geometries. The study presents a comparison of:
i) Methodologies using an advanced combination of metrology techniques to characterize 193 nm materials (e.g. ARC). ii) Measured CD and profile variations using RCSE of Opti-Probe and RT/CD technology. iii) Correlation between measured CD variation and measured material characteristics. In order to achieve less than 0.3 nm accuracy and stability requirement for sub 65 nm process development and CD uniformity control, less than 0.003 variation and accuracy in optical dispersion (n&k) of critical material has to be ensured.
This paper presents measurement results of the 3-D contact hole profiles using RT/CD technology for various diameter-to-space (D/S) ratios and film stacks. The key controlling parameters (hole depth, diameter, sidewall angle, and hole openness, etc.) for lithography processing of contacts and vias were studied in terms of measurement sensitivity on samples with different pitches and D/S ratios and film stacks. Good correlation (R2 ~ 0.99) between CD-SEM and RT/CD was obtained for the sample structures. The static and dynamic measurement stability of contact diameter and contact depth was better than 1 nm using simple profile modeling.
Real-time optical CD metrology(1), employing fast numerical solutions to the diffraction of light from microelectronic features, is beginning to gain wide usage for sub-130nm IC processing. Applications of this method heretofore have concentrated on two steps in the patterning process: the 'develop inspect' (DI) step, at which point the pattern features are formed in the photoresist but prior to etch, and the 'final inspect' (FI) step, after the etch process has transferred the feature into the underlying IC layer(s). In this article, we examine another application: use of optical CD metrology for rapid characterization of stepper/scanner performance and optimization. In order to be useful to characterize a litho process, we found it necessary to expand the set of fitting parameters to include the pitch of the measured line/space array. This is due to two practical matters: first, the pitch imprinted on a wafer is the result of the pitch on reticle and second, the pitch on the wafer is a result of imaging magnification. As a result, this parameter can vary by several percent typically, for a pitch target of 240 to 350nm. Without taking this parameter into account, high-quality fitting of results over a full-field focus-exposure matrix (FEM) wafer, for example, is problematic. With the pitch included as a fitting parameter, it is possible to obtain excellent data-model fits across an entire FEM with a single metrology recipe.
We have developed fast numerical solutions to the diffraction of light from a periodic array of contact holes (CH) in microelectronic structures. We present results for contact holes in oxide and in 193 nm and 248 nm photoresists. We also show detectability limits of the CH and observed variations across wafers processed with state-of-the-art lithography.
We have developed fast numerical solutions to the diffraction of light from periodic array structures that allow real-time regression fitting to optical data. In contrast to previous publications, the solutions we have developed are easily applied to focused beams with arbitrary angles of incidence on periodic structures with complex shapes and multiple layers both within and below the structure. The adaptive nature of the shape definition makes it relatively easy to characterize typical microelectronic patterning effects, including undercut, rounding, footing and encroachment in a robust manner on poly-gate, STI, Damascene and resist structures. This real-time approach is not limited by a priori knowledge or assumptions about the range of variation of the CD parameters, and is therefore able to deal with large excursions in process parameters. It is also not limited by parameter discretization effects. The program itself is easily configured for any type of optical measurement (ellipsometry, reflectometry, etc). Data will be presented for several categories of microelectronic CD structures that have been measured with this approach.
KEYWORDS: Ultrasonics, Control systems, Transducers, Control systems design, Signal processing, Calcium, Receivers, Signal attenuation, Time metrology, Agriculture
The research developed new and non-destructive method to detect the cutting time of milk coagulation. The system used for estimating cut-time of milk coagulation consisted of a high frequency signal generator transmitter and receiver transducers computerized data acquisition and control executive circuits. When input signal frequency equals to the inherent frequency of the ultrasonic transducer-milk coagulation system the output signal of the receiver transducer is the same frequency sine wave as that of the emit transducer and only different on their amplitude. The RMS. to DC conversion designed converted the high frequency signal into dc signal so that the computer can process easily it. The whole control system was operated by running designed EASYEST program and the clotting time(turning point) and cutting time(cutting point) was determined automatically. The experiments running the control system in laboratory produced positive correlation between the attenuation of ultrasonic signal and the physical property of milk coagulation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.