Open Access Paper
22 February 2017 Development of 250W EUV light source for HVM lithography
Author Affiliations +
Abstract
We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL since 2003. Unique original technologies such as; combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulse shooting and mitigation with magnetic field have been developed in Gigaphoton Inc.. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. We demonstrated 117W EUV power (I/F clean in burst), 50 kHz, 22 hours stable operation at Pilot #1 device. Target of this device is 250 W EUV power by 27 kW pulsed CO2 driver laser system.

1.

Introduction

Extreme ultraviolet (EUV) light source has been being developed together with a scanning exposure tool. As the tool with 10 W EUV light source, ASML shipped “α-demo tool” in 20071) and Nikon shipped EUV-1 in 20082). ASML has been developing β-tool; NXE-3100 in the beginning of 2011 with 100 W EUV light source.3)4) Requirement of the EUV exposure tool is now in the γ-tool; NXE3300 (for high volume manufacturing (HVM))5). Several machines are already shipped in 2013. The required EUV power is 250 W clean power (after purifying infrared (IR) and deep ultra violet (DUV) spectra) at intermediate focus (IF). However demonstrated power level is still around 80 W6)7). In this paper we introduce latest EUV source more than 100W level EUV power demonstration by Pilot #1 system; 250W EUV source for HVM of Gigaphoton.

2.

LPP EUV light source system and key components

2.1

System concept

Since 2002, we have been developing the carbon dioxide (CO2) laser produced Tin (Sn) plasma (CO2-Sn-LPP) EUV light source which is the most promising solution as the 13.5 nm high power (>200 W) light source for HVM EUV lithography (EUVL) We have chosen the LPP-EUV method because of its high efficiency, power scalability, and spatial freedom around plasma. Our group has proposed several unique original technologies.8)9). The theoretical10) and experimental11) data have clearly demonstrated, combination of CO2 laser and Sn plasma realize high conversion efficiency (CE) from driver.

The conceptual Stracture of Gigaphoton HVM EUV light source is shown in Fig.1. And procedure of Sn debris mitigation with the magnetic field is shown in Fig. 2. At first step Sn droplet target is irradiated with pre-pulse laser. The Sn droplet is crushed to sub-micron mists. The mists are expanded in time. At second step after certain delay time the mists cloud is expanded and heated by pulsed CO2 laser beam. The cloud is converted to high temperature plasma. Sn ions have several number of charges. During recombination process Sn plasma emits 13.5 nm EUV light. Most of the Sn ions can be trapped by the magnetic field by Larmor movement. To prevent the collector mirror from being contaminated, Sn plasma needs to be trapped before being deposited on the collector mirror. Residues of the plasma after emitting EUV light are eventually scattered inside the vessel. To enhance EUV energy and to maximize Sn debris mitigation, number of Sn ions should be maximized in these laser heating processes.

Fig. 1

The concept of Gigaphoton HVM EUV light source.

00027_PSISDG10097_1009702_page_1_1.jpg

Fig. 2

EUV emission & Sn mitigation process concept.

00027_PSISDG10097_1009702_page_2_1.jpg

2.2

Pre-pulse technology12)13)

CE of 4.7 % with the 20 μm in diameter droplet has been demonstrated by optimizing the pre-pulse laser conditions as shown in Fig. 3 (red dot) with small experimental device. These basic studies have contributed to the development of the high-power production machine and to the basic design for further EUV power scaling together with theoretical calculations. This phenomena is explainable with difference of pre-pulse expansion mechanism of ns-pulse and ps-pulse. This high CE technology enables 250 W EUV source with 20 kW CO2 laser.

The amount and the distribution of the Sn neutral atoms after the pre-pulse laser irradiation in a certain magnetic field were observed with the Laser Induced Fluorescence (LIF) method. On the other hand, in case of 10 ps pre-pulse ionization rate is very high even in case of low CO2 pulse energy (Fig4).

Fig.4

Ionization ratio vs. CO2 laser energy.

00027_PSISDG10097_1009702_page_2_2.jpg

2.3

Droplet generator & Magnetic-mitigation technology

Our Sn debris mitigation concept with the magnetic field is simple. Because EUV light is emitted from the Sn plasma, which is mainly composed of Sn ions and electron, almost all the Sn ions can be trapped in the strong magnetic field. Also, some neutral atoms can be guided and trapped by charge exchange with ions. In reality, however, not all the Sn atoms and ions can be trapped in the magnetic field. (Fig.5).13) We have investigated behaviors under various conditions to optimize Sn debris mitigation parameters in the compact EUV generation tool (patented).

Fig.5

Collector mirror and mitigation system.

00027_PSISDG10097_1009702_page_3_1.jpg

2.4

IR reduction technology on collector mirror

After EUV plasma is created, EUV light is collected by multilayer mirror. However EUV plasma emits not only EUV light, but also UV light, visible light and infrared light respectively. These light components are called “Out of band light” (Fig.6). Reflected IR light from the multilayer makes interference pattern at focal plane (patented). Only IR light is absorbed by aperture stop. Fig.7 shows the schematic of this new filter. 14)

Fig. 7

Schematic of new type filter and Out of Band spectrum.

00027_PSISDG10097_1009702_page_3_2.jpg

2.5

Driver laser System development

To realize our EUV light source, we are constructed three devices; proto #1, #2 and Pilot #1. Major difference between three systems is CO2 laser power and output angle, other specification is essentially same. We have been develop system technology and component test at proto #1 since 201113). The new CO2 laser amplifier has been developed by co-operate with Mitsubishi electric supported by NEDO15)16). We have started the construction of proto #2 system in 2H of 2013. In 2015 we succeeded to demonstrate 20 kW output power with combination of pre-amplifier by Mitsubishi electric, and other CO2 laser by the Trump at proto #2 test bench17). The operation has started beginning of 2014 (Fig.8). This system achieved 20kW with 15ns pulse duration, repetition rate was 100kHz. We have demonstrated 256W EUV emission in burst operation with 95% duty cycle by proto#2 device19)

Fig.8

CO2 laser pre-amplifier installation by Mitsubishi electric.

00027_PSISDG10097_1009702_page_3_3.jpg

In 2016 we developed 27kW CO2 driver laser system for Pilot #1 system. (15ns pulse duration, repetition rate was 100kHz) composed with one pre-amplifier and three main amplifier produced by Mitsubishi electric. Picture is shown in Fig. 9. The beam profile is much better than Proto #2 CO2 driver laser system18).

Fig.9

Pre-amplifier + 3x main amplifier installation by Mitsubishi Electric.

00027_PSISDG10097_1009702_page_4_1.jpg

4.

250W HVM LPP-EUV Source19)20)

4.1

Pilot #1 system construction

The Pilot#1 HVM EUV light source is shown in Fig 10. System is consist of driver laser system, beam transfer system and EUV chamber system. We are planning to use the four amplifiers which are produced by Mitsubishi electric. At present the Pilot#1 system is constructed, the picture of this construction is shown in Fig.11.

Fig.10

GL200E-Pilot#1

00027_PSISDG10097_1009702_page_4_2.jpg

Fig. 11

Pictures of Pilot#1 system construction

00027_PSISDG10097_1009702_page_5_1.jpg

Table. 1

Target specification of Gigaphoton EUV sources

4.2

High Ce fundamental experiment and actual experiment in high power device

Difference of Driver CO2 laser beam profile of Proto #2 system and Pilot #1 is shown in fig.12. Beam profile of Pilot #1 system is much symmetrical and homogeneous. This difference make difference of conversion efficiency. Pilot #1 system achieved significant progress of conversion efficiency between 5.0% and 5.5%. This homogeneity coming from difference of excitation of CO2 laser.

Fig.12

Driver laser beam profile and conversion efficiency

00027_PSISDG10097_1009702_page_5_2.jpg

4.3

Latest data of Pilot#1 system

Since September 2016, we started the operation of Pilot #1 system. One example of the operation data of the Pilot #1 system is shown in Fig.13. EUV in band power is 117W, 95% duty cycle, 50kHz (111W average power) operation time is 22hours. CO2 laser power is only 9.5kW, because of 5% high conversion operation. Also dose stability data is shown in Fig.14 during 22 hours. This tata shows very good stability. The measured data shows 92.3% die yield.

Fig.13

EUV emission data

00027_PSISDG10097_1009702_page_6_1.jpg

Fig.14

Dose stability data

00027_PSISDG10097_1009702_page_6_2.jpg

Also thin mitigation simulation on the corrector mirror of Pilot #1 system shows significant improvement of cleanness from Proto #2 system (Fig.15), by optimized design of hydrogen gas flow on the corrector mirror. This data promise very long lifetime of the corrector mirror of Pilot #1 system.

Fig. 14

Simulation of thin deposition rate on the corrector mirror

00027_PSISDG10097_1009702_page_6_3.jpg

5.

Conclusion

We have developed Pilot #1 system for HVM EUV lithography. We demonstrated 117W EUV power (I/F clean in burst), 50 kHz, 22 hours stable operation. This system is using 27 kW CO2 laser amplifier system consist of pre-amplifier and 3xmain amplifiers by Mitsubishi electric in Japan. We will ship Pilot system to EUV lithography market very soon for 10nm node semiconductor mass manufacturing.

6.

Acknowledgement

This work was partly supported by the New Energy and Industrial Technology Development Organization (NEDO), Japan. We acknowledge their continuous support.

We acknowledge to following researchers and organizations; Plasma simulation is supported by Dr. Jun Sunahara in Osaka University. Plasma diagnostics is supported by Dr. Kentaro Tomita, Prof. Kiichiro Uchino and others in Kyushu University. Laser engineering is supported by Dr. Akira Endo in HiLase Project (Prague). Also collector mirror suppliers – especially RIT. Also CO2 laser amplifier development is supported by Mitsubishi electric CO2 laser amp. develop. team: Dr. Yoichi Tanino, Dr. Junichi Nishimae, Dr. Shuichi Fujikawa and others. Authors are very sorry to miss Dr. Yoichi Tanino in Mitsubishi electric corporation with sudden death on 1st February in 2014. We appreciate his extreme great job of CO2 amplifier development in very short period and pray for the soul of him.

7.

7.

References

[1] 

Noreen Harned, et al.:, “EUV Lithography with the Alpha Demo Tools: status and challenges,” in Proc. SPIE, 6517-06 (2007). Google Scholar

[2] 

Takaharu Miura et al.,:, “Nikon EUVL development progress update,” in Proc. SPIE, 6921-0M (2008). Google Scholar

[3] 

Christian Wagner, et al.:, “EUV into production with ASML’s NXE platform,” in Proc. SPIE, 7636-1H (2010). Google Scholar

[4] 

Christian Wagner, et al.:, “Performance validation of ASML’s NXE:3100,” in Proc. SPIE, 7969-49 (2011). Google Scholar

[5] 

R. Peeters, S. Lok, et.al.:, “ASML’s NXE platform performance and volume Introduction,” in Proc. SPIE, 8679-50 (2013). Google Scholar

[6] 

Jack J.H. Chen, TSMC, “Progress on enabling EUV lithography for high volume manufacturing,” in 2015 EUVL Symposium, (2015). Google Scholar

[7] 

Mark Phillips, Intel Corporation, “EUVL readiness for 7nm,” in 2015 EUVL Symposium, (2015). Google Scholar

[8] 

Akira Endo, et al.:, “Laser produced EUV light source development for HVM,” in Proc. SPIE, (2007). Google Scholar

[9] 

Hakaru Mizoguchi, et al.:, “100W 1st Generation Laser-Produced Plasma light source system for HVM EUV lithography,” in Proc. SPIE, 7969-08 (2011). Google Scholar

[10] 

K. Nishihara, EUV Sources for Lithography, SPIE, Bellingham (2005). Google Scholar

[11] 

H. Tanaka, et al.:, “Comparative study on emission characteristics of extreme ultraviolet radiation from CO2 and Nd:YAG laser-produced tin plasmas,” Appl. Phys. Lett., 87 041503 (2005). https://doi.org/10.1063/1.1989441 Google Scholar

[12] 

Tatsuya Yanagida, et al.:, “Characterization and optimization of tin particle mitigation and EUV conversion efficiency in a laser produced plasma EUV light source,” in Proc. SPIE, 7969 –100 (2011). Google Scholar

[13] 

Junichi Fujimoto, et al.:, “Development of the reliable 20 kW class pulsed carbon dioxide laser system for LPP EUV light source,” in Proc. SPIE, 7969 –99 (2011). Google Scholar

[14] 

RIGAKU technical display, “IR Rejection Collector Optic Manufacturing Process,” in Proc. of International Symposium on Extreme Ultraviolet Lithography 2013, (2013). Google Scholar

[15] 

Yoichi Tanino:, “A proposal for an EUV light source using transverse flow CO2 lasers,” in (International Symposium on Extreme Ultraviolet Lithography 2012, 1016 (2012). Google Scholar

[16] 

Krzysztof M Nowak, Yoichi Tanino et.al.:, “EUV driver CO2 laser system using multi-line nano-second pulse high-stability master oscillator for Gigaphoton’s EUV LPP system,” in Proc. of International Symposium on Extreme Ultraviolet Lithography 2013, (2013). Google Scholar

[17] 

Hakaru Mizoguchi, “Update of one hundred watt HVM LPP-EUV source performance,” in 2015 EUVL Symposium, (2015). Google Scholar

[18] 

Koji Yasui, Naoyuki Nakamura, Jun-ichi Nishimae, Masashi Naruse, Kazuo Sugihara, Masato Matsubara, “Stable and scalable CO2 laser drivers for high-volume-manufacturing extreme ultraviolet lithography applications,” in 2016 EUVL symposium, (2016). Google Scholar

[19] 

Hakaru Mizoguchi, Hiroaki Nakarai, Tamotsu Abe, Krzysztof M. Nowak, Yasufumi Kawasuji, Hiroshi Tanaka, Yukio Watanabe, Tsukasa Hori, Takeshi Kodama, Yutaka Shiraishi, Tatsuya Yanagida, Tsuyoshi Yamada, Taku Yamazaki, Shinji Okazaki, Takashi Saitou, “Performance of new high-power HVM LPP-EUV source,” in Proc. SPIE, (2016). Google Scholar

[20] 

H. Mizoguchi, “Development of 250W EUV Light Source for HVM Lithography,” in 2016 EUVL symposium, (2016). https://doi.org/10.1109/CSTIC.2016.7463968 Google Scholar
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hakaru Mizoguchi, Hiroaki Nakarai, Tamotsu Abe, Krzysztof M. Nowak, Yasufumi Kawasuji,, Hiroshi Tanaka, Yukio Watanabe, Tsukasa Hori, Takeshi Kodama, Yutaka Shiraishi, Tatsuya Yanagida, Tsuyoshi Yamada, Taku Yamazaki, Shinji Okazaki, and Takashi Saitou "Development of 250W EUV light source for HVM lithography", Proc. SPIE 10097, High-Power Laser Materials Processing: Applications, Diagnostics, and Systems VI, 1009702 (22 February 2017); https://doi.org/10.1117/12.2261075
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Gas lasers

Tin

Carbon dioxide lasers

Light sources

Carbon monoxide

Amplifiers

RELATED CONTENT


Back to Top