Knowledge of optical constants in the vacuum ultraviolet (VUV) range is vital for the semiconductor industries to develop new materials with the required optical properties for lithography. However, the optical constants for most materials in this range are not precisely known because corresponding measurements are demanding in many regards. Measuring the s- and p-polarized reflectance for different materials from 36 nm- 220 nm, we have calculated the optical constants and studied the effect of polarization in the sensitivity of the optical constant determination in the VUV spectral range
BackgroundA plausible approach for mitigating the mask 3-D (M3D) effects observed in extreme ultraviolet (EUV) lithography is to replace the existing mask absorber with alternative materials. Absorbers with a high EUV extinction coefficient k allow for lower best focus variation (BFV) through pitch and reduced telecentricity errors (TCEs).AimWe aim to evaluate Ta-Co alloys as potential high-k mask absorbers from material suitability and imaging standpoints.ApproachWe study the film morphology, surface composition, and stability of Ta-Co alloys in mask cleaning solutions and a hydrogen environment as present in the EUV scanner to assess the material suitability from an experimental aspect. Optical constants for three selected compositions, viz., TaCo, Ta2Co, and TaCo3, were determined from EUV angle-dependent reflectivity measurements. Next, utilizing rigorous simulation software, the imaging performance of Ta-Co alloys is evaluated and compared with the reference absorber. The recommended absorber thickness for Ta-Co alloy absorbers is based upon normalized image log slope (NILS) enhancement, threshold to size, and balancing of diffraction order amplitudes. A 10 nm line and space pattern with a pitch of 20 nm and 14 nm square contact holes with a pitch of 28 nm are used for the simulation study using high numerical aperture 0.55 EUV lithography process settings. The primary imaging metrics for through pitch evaluation include NILS, TCE, and BFV.ResultsThe Ta-Co alloys exhibit a higher EUV extinction coefficient k compared with the currently used Ta-based absorber. TaCo and Ta2Co demonstrate smooth surfaces and are stable in a hydrogen environment and in mask-cleaning solutions.ConclusionTa-Co alloys allow for a reduction in M3D effects at a lower absorber thickness compared with a 60 nm Ta-based reference absorber.
Optical constants of materials are essential for predicting and interpreting optical responses, which is crucial when designing new optical components. Although accurate databases of optical constants are available for some regions of the electromagnetic spectrum, for the vacuum ultraviolet (VUV), the extreme ultraviolet (EUV), and soft x-ray spectral ranges, the available optical data suffer inconsistencies, and their determination is particularly challenging. Here, we present a selected example of ruthenium (Ru) for the determination of optical constants from the VUV to the soft x-ray spectral range using reflectivity measurements performed with synchrotron radiation. The subtleties of reflectivity measurements are discussed for a large wavelength range, from 0.7 to 200 nanometers.
The interaction of matter and light can be described based on optical constants, shortly called δ&β. These constants provide the fundamental basis for the design of any optical system. In the Extreme Ultraviolet (EUV) spectral range, however, the existing data for many materials or compounds is very sparse, non-existent or exhibit considerable discrepancies between different sources. This is further complicated since the scaling effects stipulate the optical response of a thin film to differ from bulk. Oxidation, impurities or interdiffusion significantly affect the optical response of a system to EUV radiation. For this reason, the Physikalisch-Technische Bundesanstalt (PTB) is establishing a new database in cooperation with other European partners. This database, designated as the Optical Constants Database (OCDB) can be accessed online freely (OCDB.ptb.de). This data collection shall support further development of various fields from new metrological techniques, like EUV scatterometry to computational lithography in the EUV. This is demonstrated exemplarily here by the interplay between δ&β and the dimensional parameters with respect to a structured TaTeN EUV photomask. It is equally important either direction, to derive structure parameters from the measured EUV scattering as vice versa to predict the EUV response from the geometrical structure. In addition, the impact of varying δ and β on the expected imaging performance will be investigated by simulating typical lithographic image metrics like Critical Dimension (CD), best focus position, image contrast (NILS) and non-telecentricity for the imaging of through pitch L/S and 16 nm vertical Lines with 32 nm pitch in a NA=0.55 scanner for TaTeN mask absorber as typical representatives of high-k absorber materialsand as an example of the effect on imaging simulation.
Any modeling of an interaction between photons and matter is based on the optical parameters. The determination of these parameters, also called optical constants or refractive indices, is an indispensable component for the development of new optical elements such as mirrors, gratings, or lithography photomasks. Especially in the extreme ultraviolet (EUV) spectral region, existing databases for the refractive indices of many materials and compositions are inadequate or are a mixture of experimentally measured and calculated values from atomic scattering factors. Synchrotron radiation is of course ideally suited to verify such material parameters due to the tuneability of photon energy. However, due to the large number of possible compounds and alloys, the development of EUV laboratory reflectometers is essential to keep pace with the development of materials science and allow for inline or on-site quality control. Additionally, optical constants are also essential for EUV metrology techniques that aim to achieve dimensional reconstruction of nanopatterned structures with sub-nm resolution. For this purpose, we studied a TaTeN grating created on an EUV Mo/Si multilayer mirror, to mimic a novel absorber EUV photomask. We present here a first reconstruction comparison of these structures, measured by EUV scatterometry at the electron storage ring BESSYII and with a laboratory setup of a spectrally-resolved EUV reflectometer developed at RWTH Aachen University. Both approaches differ in several aspects reaching from setup size to spectral quality (brilliance, bandwidth and coherence) as well as the measured and simulated data.
This conference presentation was prepared for Photomask Japan 2022: XXVIII Symposium on Photomask and Next-Generation Lithography Mask Technology, 2022.
An alternate mask absorber is a generally applicable approach as a mitigation strategy for Mask 3-D effects (M3D) observed in EUV lithography. It is also an efficient solution in a production-worthy environment compared to multilayer modification or Source Mask Optimization (SMO) techniques. Absorbers with a high EUV extinction coefficient k allow for lower Best Focus Variation (BFV) through pitch and reduced Telecentricity Errors (TCE). This study evaluates Ta-Co alloys as potential high-k mask absorbers. It includes an experimental study of film morphology, surface composition, and stability of Ta-Co alloys as well as a theoretical investigation of the imaging performance. The optical constants were determined from EUV angle-dependent reflectivity measurements for three selected compositions, viz. TaCo, Ta2Co, and TaCo3. The Ta-Co alloys exhibit a higher EUV extinction coefficient k compared to the currently used TaBN absorber. TaCo and Ta2Co demonstrated smooth surfaces, were stable in a hydrogen environment, and in mask cleaning solutions. These qualified compositions of Ta-Co alloys were selected for aerial image simulations and compared with a TaBN absorber. The optimized absorber thickness for Ta-Co alloy absorbers is based upon NILS enhancement, Threshold to Size (TtS) and balancing of diffraction order amplitudes. A 10 nm alternate line-space pattern with a pitch of 20 nm and 14 nm square contact holes with a pitch of 28 nm were considered for the simulation study using High NA 0.55 EUV lithography process settings. The through pitch imaging performance was evaluated using NILS, TCE and BFV as metrics. Ta-Co alloys allow for a reduction in M3D effects at smaller absorber thickness compared to a 60 nm TaBN absorber.
State-of-the-art EUV exposure systems utilize EUV radiation around 13.52 nm with a full band spectrum extend- ing from 13.2 nm to 13.8 nm. The variation of the wavelength in this range modifies the diffraction angles with an impact on the image blur and non-telecentricity effects. Dispersion of the materials on the EUV mirrors and on the 3D mask introduce an additional sensitivity of the imaging characteristics to the exposure wavelength. We employed the simulation models of the Fraunhofer IISB lithography simulator Dr.LiTHO in combination with measured optical material data from PTB to quantify the resulting image impact and to differentiate between the identified contributors to polychromatic imaging effects.
Novel mask absorber designs are catching the attention of the EUVL community due to their ability to mitigate mask 3D effects. Material selection is part of such an optimization. We propose several candidates as novel EUV lithography mask absorbers, namely TaTeN, Ru–Ta, and Pt–Mo alloys. The choice of these materials is based on their theoretical performance evaluated by EUV imaging simulation based on their complex refractive index N ( λ ) = n ( λ ) + ik ( λ ) , where the optical constants n and k relate to the phase velocity and the absorption of electromagnetic radiation with a wavelength λ, respectively. The materials are deposited as thin films on Si substrate with an additional Ru layer to mimic the cap of the multilayer mirror on the real mask. The experimental n and k values are determined by analyzing EUV reflectivity data obtained using a 13.5-nm synchrotron EUV radiation. The imaging simulation presented consists of calculating several imaging metrics including non-telecentricity, normalized image log-slope, and threshold-to-size for specific use cases using the novel absorber. It also compares the proposed materials with the reference TaBN absorber. TaTeN shows higher absorption than TaBN and refraction closer to 1, which improves phase matching for a high k absorber. The refractive index of Ru–Ta and Pt–Mo alloys exhibits a large difference from that of air and provides the required phase shift of attenuated phase shift masks. The characterizations of these materials target the requirements of an EUVL mask: durability for mask cleaning, mask lifetime, and etchability for mask patterning. The stability is first tested against several standard mask cleaning solutions by a beaker test for up to 24 h. The samples are also exposed to hydrogen plasma to imitate the working environment in an EUV scanner. Concerning material patterning, chemical reactive ion etch is applied for preliminary tests. A proper etch recipe is found for TaTeN with a good etch rate (about 60 nm / min) and good selectivity to the Ru underlayer (Ru etch is negligible).
Novel mask absorber designs are calling attention of the EUVL community due to their ability to mitigate mask 3D effects. Material selection is part of such optimization [1]. In this paper we propose several candidates as novel EUV lithography mask absorbers, namely TaTeN, Ru-Ta and Pt-Mo alloys.
The choice of these materials is based on their theoretical performance evaluated by EUV imaging simulation based on their complex refractive index N(λ) = n(λ) +ik(λ), where the optical constants n and k relate to the phase velocity and to the absorption of an electromagnetic radiation with a wavelength λ, respectively. The materials are deposited as thin films on Si substrate with an additional Ru layer to mimic the cap of multilayer mirror (MLM) on the real mask. The experimental n and k values are determined by analyzing EUV reflectivity data obtained using a 13.5 nm synchrotron EUV radiation. The imaging simulation presented in this paper consists of calculation of several imaging metrics like non-telecentricity, normalized image log-slop (NILS), and threshold-to-size for specific use cases using the novel absorber. It also compares the proposed materials to the reference TaBN absorber. TaTeN shows higher absorption than TaBN and refraction closer to 1, which improves phase matching for a high k absorber. The refractive index of Ru-Ta and Pt-Mo alloys exhibits a large difference to that of air and provides the required phased shift of attenuated phase shift masks [2].
The characterizations of these materials target the requirements of an EUVL mask: durability for mask cleaning, mask lifetime and etchablity for mask patterning. The stability is first tested against several standard mask cleaning solutions by beaker test up to 24 hours with the film structure monitored by X-ray reflectivity analysis. The samples are also exposed to hydrogen plasma to imitate the working environment in a EUV scanner. Material integrity is checked with Rutherford backscattering spectroscopy before and after the exposure. Concerning material patterning, chemical reactive ion etch is applied for preliminary tests. A proper etch recipe is found for TaTeN with good etch rate (about 60 nm/min) and good selectivity to Ru underlayer (Ru etch is ignorable).
After the introduction to high volume manufacturing, continuous development of EUVL systems and components will require the use of novel engineering materials, for example as absorber layer on next generation photomasks. In the EUV or soft X-ray spectral range the optical parameters of many materials are often not well known or based on theoretical calculations and interpolations. Thus, highly accurate measurements of the optical constants of thin layers obtained from different material compositions are necessary for the realistic modelling of new photomasks designs. Reflectometry is a widely used method for the determination of film thickness, especially in the X-ray spectral range. The same approach can also be used in EUV with a different focus. The aim is then to reconstruct the optical material parameters, the real and imaginary part of the refractive index, from the reflectivity measurements with a well-defined layer thickness. In this study, we will present the feasibility of determining the optical constants for candidate materials for EUV photomask absorbers using EUV reflectometry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.