Currently, we are supplying defect-free EUV mask for device development. This was one of the biggest challenges in the implementation of EUV lithography for high volume manufacturing (HVM). It became possible to hide all multi-layer defects by using defect avoidance technique through improvement of blank mask defectivity and development of actinic blank inspection tool. In addition, EUV pellicle is also considered as a requisite to guarantee predictable yield. Both development of mask shop tools and preparation of EUV scanner for pellicle are going well. However, still membrane needs to be much improved in terms of transmittance and robustness for HVM. At the conference, EUV mask readiness for HVM will be discussed including blank defect improvement, preparation of actinic tools and pellicle development.
We introduce an extreme ultraviolet lithography (EUVL) mask defect review system (EMDRS) which has been developing in SAMUSNG. It applies a stand-alone high harmonic generation (HHG) EUV source as well as simple EUV optics consisting of a folding mirror and a zoneplate. The EMDRS has been continuously updated and utilized for various applications regarding defect printability in EUVL. One of the main roles of the EMDRS is to verify either mask repair or mask defect avoidance (MDA) by actinic reviews of defect images before and after the process. Using the MDA, small phase defects could be hidden below absorber patterns, but it is very challenging in case of layouts with high density patterns. The EMDRS clearly verify the success of the MDA while conventional SEM could not detect the images. In addition, we emulate images of the sub-resolution assist features (SRAFs) by the EMDRS and compared them with the wafer exposure results.
In this article the recent progress in the elements of EUV lithography is presented. Source power around 205W was demonstrated and further scaling up is going on, which is expected to be implemented in the field within 2017. Source availability keeps improving especially due to the introduction of new droplet generator but collector lifetime needs to be verified at each power level. Mask blank defect satisfied the HVM goal. Resist meets the requirements of development purposes and dose needs to be reduced further to satisfy the productivity demand. Pellicle, where both the high transmittance and long lifetime are demanded, needs improvements especially in pellicle membrane. Potential issues in high-NA EUV are discussed including resist, small DOF, stitching, mask infrastructure, whose solutions need to be prepared timely in addition to high-NA exposure tool to enable this technology.
Extreme ultraviolet (EUV) lithography has received much attention in the semiconductor industry as a promising candidate to extend dimensional scaling beyond 10nm. Recently EUV pellicle introduction is required to improve particle level inside scanner for EUV mass production. We demonstrate that a new pellicle material, nanometer-thick graphite film (NGF), is one of the best candidates of EUV pellicle membrane. A NGF pellicle with excellent thermal (ε≥0.4 @R.T, <100nm), mechanical (415MPa @~100nm), chemical and optical (24hrs durability under exposure of EUV/H2 at 4W/cm2 with pH2~5Pa) properties can be a promising and superb candidate for EUV pellicle membrane compared to Si pellicles with capping layers.
Advanced 193nm DUV optical inspection tools that can cover 2Xnm HP node become more important and they are being tested to estimate their extendibility. We report DUV based inspection results evaluated and compared to wafer prints, as well as mask CD-SEM images in order to determine the size of printable defects that must be detected in each device node. Applied Materials® advanced Aera™ optical mask inspection tool that adapted a new optical technology enhancement was utilized to evaluate its inspection capability. The illumination conditions and pixel size were optimized to increase inspection sensitivity and reach detection requirements for not only critical defects that print on the wafer but also non-printing defects that indicate to a mask issue. Simulation was used to study suitable optical illumination conditions analyzing results to achieve the best performance for high-end EUV mask inspection toward next generation lithography.
Reflected light inspection has been used to inspect EUVL mask which consists of multi layers and metal absorber.
However, sub-wavelength half pitch patterns and reflected inspection make unprecedented phenomenon like tone
inversion. These lead EUV inspection more difficult in detectability and inspectability for separating out defects and
false.
In this study, we report the evaluation result of inspection dependency of illumination conditions like OAI(Off-Axis
Illumination), sigma and polarization for sub-20nm EUVL PDM(programmed defect mask). With inspection of sub-
20nm device mask, we finally address the inspection feasibility for sub-20nm device and the future direction of
inspection technology.
The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography
(EUVL) into high volume manufacturing. Recently both blank suppliers achieved 1-digit number of defects at 60nm in
size using their M1350s. In this paper, a full field EUV mask with Teron 61X blank inspection is fabricated to see the
printability of various defects on the blank using NXE 3100. Minimum printable blank defect size is 23nm in SEVD
using real blank defect. Current defect level on blank with Teron 61X Phasur has been up to 70 in 132 X 132mm2. More
defect reduction as well as advanced blank inspection tools to capture all printable defects should be prepared for HVM.
3.6X reduction of blank defects per year is required to achieve the requirement of HVM in the application of memory
device with EUVL. Furthermore, blank defect mitigation and compensational repair techniques during mask process
needs to be developed to achieve printable defect free on the wafer.
Amplitude defects (or absorber defects), which are located in absorber patterns or multilayer surface, can be repaired
during mask process while phase defects (or multilayer defects) cannot. Hence, inspection and handling of both defects
should be separately progressed. Defect printability study of pattern defects is very essential since it provides criteria for
mask inspection and repair. Printed defects on the wafer kill cells and reduce the device yield in wafer processing, and
thus all the printable defects have to be inspected and repaired during the mask fabrication. In this study, pattern defect
printability of the EUV mask as a function of hp nodes is verified by EUV exposure experiments. For 3x nm hp nodes,
defect printability is evaluated by NXE3100. For 2x nm hp node, since resolution of a current EUV scanner is not
enough, SEMATECH-Berkeley actinic inspection tool (AIT) as well as micro-field exposure tool (MET) in LBNL are
utilized to verify it,. Furthermore those printability results are compared with EUV simulations. As a result, we define
size of defects to be controlled in each device node.
We report inspection results of EUVL masks with 193nm wavelength tools for 30nm and 24nm half-pitch nodes. The
dense line and space and contact pattern is considered to study inspection capability. The evaluation includes defect
contrast variation depending on illumination conditions, defect types, and design nodes. We show many inspection
images with various optic conditions. Consequently, the detection sensitivity is affected by contrast variation of defects.
The detection sensitivity and wafer printability are addressed with a programmed defect mask and a production mask.
With these results, we want to discuss the capability of current EUVL mask inspection tools and the future direction.
Extreme Ultra Violet Lithography (EUVL) is one of the most advanced patterning technologies to overcome the critical
resolution limits of current ArF lithography for 30nm generation node and beyond. Since EUVL mask manufacturing
process has not been fully stabilized yet, it is still suffering from many defect issues such as blank defects, defects inside
multilayer causing phase defects, CD defects, LERs (Line Edge Roughness), and so on. One of the most important
roles in mask manufacturing process belongs to mask inspection tools, which monitor and visualize mask features,
defects and process quality for the EUVL process development. Moreover, as the portion of EUV mask production has
been increased due to the EUV Pre-Production Tool (PPT) development, mask inspection technologies for EUVL
become highly urgent and critical to guarantee mask quality. This paper presents a promising inspection technique for
increasing the contrast of pattern imaging and defects capture rate using configurable illumination conditions in 193nm
wavelength inspection tool.
We present a common-path optical frequency domain imaging (CP-OFDI) system for non-invasive evaluation of various
pearls. By adopting a high speed ready-to-ship scanning light source and a common-path lensed fiber probe, with the
help of a rotation stage, real-time display of whole circumference of a pearl could be achieved. The common-path lensed
fiber probe was fabricated by simply forming a focusing lens directly on the tip of an optical fiber, thus the fiber lens
acted as a reference reflector as well as a focusing lens. The focal length of the lensed fiber probe was over 600 μm in
free space and the average imaging depth reached up to 3 mm, which was deep enough to examine the internal structure
of the pearl. The sensitivity of the system was experimentally obtained as 100dB. With an implemented system, the
presence of nucleus and the nacreous laminated pattern were confirmed and analyzed. Experimental results show that the
CP-OFDI system has great potential for identifying and grading pearls non-invasively but precisely.
We present the method measuring the thickness and the refractive index of a transparent specimen at a same time based on
full-field optical coherence tomography. As a sample a small drop of epoxy was placed on a flat plate and the high-resolution
depth resolved en-face images of the epoxy drop were taken. With adopting the plate surface as a reference plane,
the physical thickness and the refractive index distribution could be obtained. Owing to the full-field imaging capability, we
could obtain the transverse distributions of the thickness and the refractive index without any transverse scanning. The
measured thickness at the center of the sample was 24 μm and the average index was 1.4055 with the standard deviation of
0.0002.
We present an advanced three-dimensional tomographic imaging system using the optical coherence gating based on
stroboscopic illumination. The proposed system is based on a
wide-field optical coherence tomography (WF-OCT) that
is capable of en-face tomographic imaging through whole-field illumination and parallel detection technique. The
scheme enables achievement of a three-dimensional volumetric image in real time only with a single axial continuous
scanning. The axial scanning of the OCT system generates interferometric signal with a beat (or Doppler) frequency.
The time-varying interfergams are usually detected in series with a CCD camera for the WF-OCT case. However,
because the camera response is much slower than the Doppler frequency, the interference signal is averaged out for
most cases. To avoid the averaging out problem of the beat signal, the input light is optically switched on and off at the
same rate as the Doppler frequency generated by the axial scan. When the constructive interference components in the
signal are synchronized with the stroboscopic illumination of the light source, the envelope signal of the sample can be
detected by the slow camera. Compensated adaptive optic system was combined with the OCT instrument to avoid
decrease of the interference signal by nonlinearity of scan motion. With the implemented WF-OCM, a lithium battery
volume image of 6×4.5×0.005 (X×Y×Z) mm3 was obtained in 82 ms with axial scanning speed of 0.63 mm/s and visualized in volume rendering.
We report an all-fiber system aided by double-clad fiber (DCF) and DCF devices for simultaneous measurements of
optical coherence tomography (OCT) and fluorescence spectroscopy (FS). The DCF together with DCF coupler and
single-body DCF lens helped in realizing a multifunctional single-unit probe for the OCT-FS system. The fiber lens
formed on the DCF aids in effective focusing and signal collection, while the DCF coupler collects the OCT signal from
the core and the fluorescence signal from the cladding of the DCF. The OCT image and fluorescence spectra of plant
tissues are simultaneously measured and presented to validate the performance.
We propose a fiber-based hand-held scanning probe suitable for the sample arm of spectral domain optical coherence
tomography (SD-OCT). To achieve a compact and miniaturized probe, a single-body lensed-fiber, on which an
iron-bead ferromagnetic material is loaded was fabricated and a solenoid actuator driven by readily available driving
voltage (10 V) and current (120 mA) was utilized. A focusing lens was directly formed in a single-body onto the distal
end of a fiber, which eliminated any complement optical components in front of a conventional sample probe and
removes any optical alignment problem. By using the soft-iron solenoid actuator, the fiber in the probe is activated
which gives the sample scanning for the OCT imaging. Moreover, the simple design of the solenoid allowed easy
fabrication and a good practicality. With the implemented probe, OCT images of a pearl and a human finger tip were
obtained at an imaging speed of 30 Hz and a scanning range of 4 mm.
We propose an all-fiber probe for the sample arm of an optical coherence tomography (OCT) system. By forming a
focusing lens directly on the tip of an optical fiber, a compact sample probe could be implemented. To achieve a long
enough working distance and a high enough lateral resolution at the same time, a coreless silica fiber (CSF) having a
diameter larger than that of a conventional single mode fiber was utilized. With the specially fabricated CSF having a
diameter of 180 μm, a fiber-lens having a 120 μm radius of curvature could be made, which allowed the sample probe
having a working distance as long as 920 μm and a lateral resolution as high as 9.4 μm. To present the performance of
the OCT system equipped with the proposed sample probe, the OCT images of a rat finger skin and a pearl were taken.
The system could image as deep as 1.0 mm of the rat finger skin and 3.5 mm of the pearl, and the images are compared
with the ones taken by using a conventional objective lens (10x, NA0.25). Owing to the small form factor of the
proposed probe, it can find good applications in the field of optical imaging based on endoscope or catheter.
We propose and demonstrate two new techniques based on the hybrid-interferometer, composed of optical low-coherence
interferometer and confocal optics to simultaneously measure the phase index (np), group index (ng) and
geometrical thickness (t) of optically transparent materials. In the first method, we utilize the interference signal
measured with several laser sources having different center wavelength and calculate the square of dispersion parameter
τc2) of a glass plate from the interference signals. By analyzing the dispersion effect of interference signals, we can
successfully separate the three parameters. In the second method, we approximate the derivative term of phase index in
the definition of group index, by using the confocal signals measured with the laser sources having different center
wavelength. From this approximation, we can also separate the parameters.
The average measurement errors of first and second method are ~0.123 %, ~0.061 % in geometrical thickness,
~0.133 %, ~0.066 % in phase index, and ~0.106 %, ~0.057 % in group index, respectively, for eight different samples
which are B270, CaF2, two of BK7, two of fused silica, cover glass and cigarette cover film. We are currently
attempting to improve the accuracy and this technique will be extended to index measurement for biomedical tissues.
We report on the fabrication and performance of a lensed photonic crystal fiber (PCF) designed as a compact but effective side-viewing optical imaging probe. The lensed-PCF probe was implemented in a single body without using any other fibers or additional optics. The beam expansion region and a focusing ball lens, necessary as a focuser, were simultaneously formed along a small piece of PCF by using arc discharges. The side-viewing ability was endowed by polishing the ball lens with a femto-second laser to form a TIR (total internal reflection) surface. The working distance and the transverse resolution of the fabricated single-body lensed-PCF were experimentally measured to be ~570 μm and 6.8 μm, respectively. With the proposed lensed-PCF probe, OCT images of an in vitro biological sample were successfully obtained
We propose the envelope detection method that is based on Hilbert transform for image restoration in full-filed optical
coherence tomography (FF-OCT). The FF-OCT system presenting a high-axial resolution of 0.9 &mgr;m was implemented
with a Kohler illuminator based on Linnik interferometer configuration. A 250 W customized quartz tungsten halogen
lamp was used as a broadband light source and a CCD camera was used as a 2-dimentional detector array. The proposed
image restoration method for FF-OCT requires only single phase-shifting. By using both the original and the phase-shifted
images, we could remove the offset and the background signals from the interference fringe images. The desired
coherent envelope image was obtained by applying Hilbert transform. With the proposed image restoration method, we
demonstrate en-face imaging performance of the implemented FF-OCT system by presenting a tilted mirror surface, an
integrated circuit chip, and a piece of onion epithelium.
We implemented a fiber-based optical coherence tomography (OCT) system by using a photonic crystal fiber (PCF) coupler which could support an ultra-wideband spectral bandwidth. The PCF coupler fabricated by the fused biconical tapered (FBT) method showed rather flat coupling efficiency over a broad spectral bandwidth. Furthermore, the mode-field shapes at the output ports of the PCF coupler showed single mode characteristic over a wideband range. These features will enable the OCT system to operate at 1300 nm as well as at 800 nm without changing the coupler. The FWHM of the interferogram was measured to be about 3 um when a white-light source was used. While a Ti:Sapphire laser and a conventional superluminescent diode (SLD) produced interferograms with FWHMs of about 4 um and 15 um, respectively. The OCT imaging performance of the PCF-based OCT system was demonstrated by imaging an in vitro rat eye and Misgurnus mizolepis skin with a SLD source at 1300 nm and by imaging a tooth with a Ti:Sapphire laser source at 800 nm. The PCF coupler might enable the utilization of an ultra-wideband supercontinuum generated light source in fiber-optic OCT systems for obtaining high resolution, and also realization of a white-light source as a cost effective solution for fiber-based high-resolution OCT systems. Further, this coupler also can operate as single mode not only near 1000 nm but also near 500 nm wavelengths. This feature may support realization of fiber based second harmonic (SH) OCT system.
We employed OCT imaging technique to study the human teeth infected with the caries lesion in fit and fissure. A time domain OCT system using a rapid-scanning optical delay line enabled high speed imaging of extracted teeth. The OCT images presented the morphological feature and caries-involved area of the dental structure with a high resolution of ~14 μm. The OCT images could be utilized to diagnose the disease, while a signal along the axial direction could provide quantitative analysis of the disease based on the reflectivity differences in the specimen. We investigated the influence of caries in human teeth with several imaging tools such as light illuminating exam, digital intra-oral radiography and electron probe micro analyzer, which provided the distributions of chemical compositions, mainly calcium and phosphate in hard tissues. The biochemical changes acquired from EPMA and the morphological features acquired from OCT in the early stage of caries were compared and analyzed to present more objectively practical index for translating the degree of caries.
Optical coherence tomography (OCT) images transverse resolution mostly depends on the light source spectrum width. Unfortunately, most common sources providing the required power for decent OCT image have narrow spectrum, which generate a resolution loss. It is possible, assuming the OCT system is linear shift-invariant, to consider the consequence of this spectrum narrowness as a convolution. It becomes then possible to enhance this resolution through iterative deconvolution methods (IDM). However those methods have a drawback, as they usually significantly enhance speckle, which is another consequence of the source spectrum narrowness. To compensate this, we rely on preliminary speckle filtering; and especially the adaptative ones, which provide better final results. We first studied consequences of the most popular IDM on OCT images, and then the effect of preliminary adaptive speckle filtering by different methods.
We have implemented an all-fiber optical delay line, which is composed of fiber optic components such as two linearly chirped fiber Bragg gratings, fiber optic coupler and circulator. The proposed all-fiber delay line possesses features of automatic dispersion compensation and amplified optical delay. Using the fundamental characteristics of chirped fiber Bragg gratings, the basic properties are derived to appreciate the principle of all-fiber delay line. We obtained the experimental results that reveals group delay cancellation and amplified optical delay. The non-invasive cross-sectional images of biological and transparent glass samples are acquired with the proposed all-fiber delay line, which validates the potential as an optical delay line and its feasibility for optical coherence tomography.
Fiber-based high resolution OCT system was achieved using white-light source with a halogen lamp, which has advantages of wide spectrum, compact size and low cost. The axial resolution measured without using objective lens in the sample arm was about 2.5 mm. The thickness of a thin film (about 7 mm thick) was measured to evaluate the high resolution performance. The measured interferogram showed two well-distinguished peaks corresponding to two interfaces of the thin film. The implemented OCT system was composed of fiber-optic Michelson interferometer instead of that of conventional bulk optics. To adapt a white-light source to the fiber based OCT system and providing high resolution, a wideband single mode fiber with a large mode field diameter for high coupling efficiency, a wideband fiber coupler with flat coupling response, a cascaded detector scheme for broadband detection and dispersion control are required. Dispersion mismatch due to introducing an objective lens in the sample arm can be controlled effectively by employing a proper optical component in the reference arm. After dispersion control, resolution of about 3.5 mm was enhanced to about 2.5 mm, which is similar to the objective lens-free resolution, and wide sidelobes was also well suppressed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.