The purpose of this study is to understand EUV+SADP defectivity in 15nm line and space (L&S) pattern, and to examine bright-field inspection capabilities at the 1Xnm node. Programmed defects of known size, shape, and location were printed in dense patterned areas using EUV lithography at IMEC. To track these defects throughout development, a defectivity study was conducted using bright-field inspection after four SADP processing steps. The smallest defect routinely detected had a programmed size of 14nm, and the defect signal was enhanced using polarized light. By comparing defect locations at the beginning and end stages of development, it was found that 95% of defects remained the same. This illustrates the importance of post-lithography wafer inspection. This research shows how defect characteristics on the EUV mask affect the final pattern and demonstrate the sensitivity of bright-field inspection at the 1Xnm node.
The Cost of Ownership (CoO) for semiconductor processing has been primarily dominated by lithography. In multiple
patterning processes, additional materials and the impact to throughput of multiple patterning passes appear to become
additional major contributors to manufacturing cost as well. We introduce SiOxNy hardmask as a new memorization
layer for multiple patterning that addresses the non-lithographic cost contributor to manufacturing. The optical constants
of the SiOxNy hardmask are matched to those of the photoresist at the imaging wavelength, and that makes it invisible at
the exposure wavelength, enabling lithography directly over the hardmask topography, while at the same time it will be
visible to those wavelengths that are used for alignment and overlay. The SiOxNy hardmask is inserted below the
photoresist which will make the rework and integration schemes much simpler and result in cost savings by replacing
only photoresist layers during multiple patterning processes. Additionally, by eliminating the need for traditional spincast
planarization and the associated tri-layer etch we can improve the critical dimension uniformity (CDU) and reduce
proximity contributions from etch, and their respective etch proximity corrections. In this work, we engineered the
lithographic stack to be compatible with the invisible SiOxNy hardmask. Lithographic process windows, CDU, and
LER/LWR are compared with conventional tri-layer stack and we demonstrate triple patterning memorized into the
SiOxNy hardmask after which patterns are then transferred, at once, into the bottom integrated stack. Finally, major
benefits of using the invisible hardmask on device scaling and patterning challenges are discussed, such as for LE2, LE3,
and trench and cut patterning.
Line edge roughness (LER) is a common problem to most lithography approaches and is seen as the main resolution limiter for advanced technology nodes1. There are several contributors to LER such as chemical/optical shot noise, random nature of acid diffusion, development process, and concentration of acid generator/base quencher. Since interference-like lithography (IL) is used to define one directional gridded patterns, some LER mitigation approaches specific to IL-like imaging can be explored. Two methods investigated in this work for this goal are (i) translational image averaging along the line direction and (ii) pupil plane filtering. Experiments regarding the former were performed on both interferometric and projection lithography systems. Projection lithography experiments showed a small amount of reduction in low/mid frequency LER value for image averaged cases at pitch of 150 nm (193 nm illumination, 0.93 NA) with less change for smaller pitches. Aerial image smearing did not significantly increase LER since it was directional. Simulation showed less than 1% reduction in NILS (compared to a static, smooth mask equivalent) with ideal alignment. In addition, description of pupil plane filtering on the transfer of mask roughness is given. When astigmatism-like aberrations were introduced in the pupil, transfer of mask roughness is decreased at best focus. It is important to exclude main diffraction orders from the filtering to prevent contrast and NILS loss. These ideas can be valuable as projection lithography approaches to conditions similar to IL (e.g. strong RET methods).
Solving the issue of line edge/width roughness (LER/LWR) in chip manufacturing is becoming increasingly urgent as the feature size continues to decrease. Several post-lithography processing techniques have been investigated by the semiconductor industry, but they were often proved to be inadequate in one area or another. In this study, a near isotropic ion implantation process, called Plasma Ribbon Beam Technology, was tuned for photoresist treatment and used to reduce LER/LWR by >30% while minimizing loss in the critical dimension (CD). Different implantation chemistries were evaluated and process parameters including energy, angle, beam current, and dose, were optimized. The LER/LWR measurement was performed on an SEM system designed for CD metrology. SEM images with resist lines of 3μm long were taken to capture more low frequency data. The results showed that, with Ar implantation on 193/193i photoresists, a 27-37% before-etch reduction in LER/LWR was achieved on 65nm and 45nm half-pitch lines whereas the CD change was controlled under ±1%. Preliminary test results on EUV photoresists have demonstrated similar trend. Compared to untreated photoresist, the LER/LWR power spectral density (PSD) data showed more than a half decade improvement in both the mid-frequency and low-frequency range. The significant low-frequency improvement affords this technique a unique advantage over other competing approaches. Pattern transfer of the LER/LWR improvements has been successfully demonstrated on 193/193i resists using both inorganic and organic ARC (anti-reflective coating).
Lithography pattern line-edge roughness (LER) has important device implications such as device variability, current leakage and dielectric breakdown. This study characterizes how LER impacts device performance in terms of spatial frequency distribution. In the front-end of device fabrication, it is shown that low-frequency fin LER causes large FinFET device variability and becomes more severe for advanced device nodes. The effect of the dielectric/metal line LER spatial frequency distribution on the dielectric breakdown and resistance-capacitance (RC) variation for interconnects was studied. It is found that low-frequency LER introduces the highest electrostatic field due to surface charge localization, resulting in an increase in the occurrence of a dielectric breakdown path. The critical frequency range that contributes the most to device variability also evolves with device nodes. On the other hand, RC variation shows negligible dependency on LER amplitude and frequency.
In this paper, we report progress in developing a scanning evanescent wave lithography (EWL) imaging head with a twostage
gap control system including a DC noise canceling carrying air bearing that floats at a constant air gap with
regulated air pressure, and an AC noise canceling piezoelectric transducer with real-time closed-loop feedback from gap
detection. Various design aspects of the system including gap detection, prism design and alignment, software
integration, feedback actuation and scanning scheme have been carefully considered to ensure sub-100 nm gapping. To
validate the design concepts, a prototyped scanning EWL imaging head is integrated into a two-beam interferometer
platform for gapping tests and imaging evaluation. Experimental results show successful gap gauging at sub-100 nm
with gap noise root-mean-square around 1.38 nm in static gapping and 4.64 nm in linear scanning gapping. We also
demonstrate scanning imaging results with NA comparable to previously reported static imaging using both fused silica
prism and sapphire prism. Our gapping and imaging results confirmed the promise of scanning EWL to extend optical
lithography to sub-22 nm generations.
Chain scissioning resists do not require addition of photoacid generators to function. Previously reported chain
scissioning polysulfone resists were able to achieve enhanced sensitivity by incorporation of absorbing repeat units, but
these groups also inhibited the depolymerization reaction, which could further enhance sensitivity. Here we report the
development of sensitive polysulfone chain scissioning resists for 193 nm that are able to undergo depolymerization. The
effect of depolymerization of LER is also discussed. These polymers underwent CD shrinkage upon overdose, which
may be useful for double patterning processes.
We have developed a transparent, high refractive index inorganic photoresist with significantly higher etch resistance
than even the most robust polymeric resist. As feature sizes continue to decrease, film thickness must be reduced in
order to prevent pattern collapse. Normally thinner films prevent sufficient pattern transfer during the etch process,
creating the need for a hardmask, thus increasing production cost. Compared to PHOST, we have shown over 10 times
better etch resistance. Organic photo-crosslinkable ligands have been attached to a hafnium oxide nanoparticle core to
create an imageable photoresist. This resist has shown superior resolution with both E-beam and 193 nm lithography,
producing sub-50 nm patterns. In addition to improved etch resistance, the inorganic photoresist exhibits a high
refractive index, increasing the depth of focus (DOF). The nanoparticle size of ~ 1-2 nm has the potential to reduce line
edge roughness (LER).
Research has been conducted to develop alternatives to chemically amplified 193 nm photoresist materials that will be
able to achieve the requirements associated with sub-32 nm device technology. New as well as older photoresist design
concepts for non-chemically amplified 193 nm photoresists that have the potential to enable improvements in line edge
roughness while maintaining adequate sensitivity, base solubility, and dry etch resistance for high volume manufacturing
are being explored. The particular platforms that have been explored in this work include dissolution inhibitor
photoresist systems, chain scissioning polymers, and photoresist systems based on polymers incorporating
formyloxyphenyl functional groups. In studies of two-component acidic polymer/dissolution inhibitor systems, it was
found that compositions using ortho-nitrobenzyl cholate (NBC) as the dissolution inhibitor and poly norbornene
hexafluoro alcohol (PNBHFA) as the base resin are capable of printing 90 nm dense line/space patterns upon exposure to
a 193 nm laser. Studies of chain scission enhancement in methylmethacrylate copolymers showed that incorporating
small amounts of absorptive a-cleavage monomers significantly enhanced sensitivity with an acceptable increase in
absorbance at 193 nm. Specifically, it was found that adding 3 mol% of α-methyl styrene (α-MS) reduced the dose to
clear of PMMA-based resist from 1400 mJ/cm2 to 420 mJ/cm2. Preliminary data are also presented on a direct
photoreactive design concept based on the photo-Fries reaction of formyloxyphenyl functional groups in acrylic copolymers.
The trend of ever decreasing feature sizes in subsequent lithography generations is paralleled by the need to reduce resist
thickness to prevent pattern collapse. Thinner films limit the ability to transfer the pattern to the substrate during etch
steps, obviating the need for a hardmask layer and thus increasing processing costs. For the 22 nm node, the critical
aspect ratio will be less than 2:1, meaning 40-45 nm thick resists will be commonplace. To address this problem, we
have developed new inorganic nanocomposite photoresists with significantly higher etch resistance than the usual
polymer-based photoresists. Hafnium oxide nanoparticles are used as a core to build the inorganic nanocomposite into an
imageable photoresist. During the sol-gel processing of nanoparticles, a variety of organic ligands can be used to control
the surface chemistry of the final product. The different ligands on the surface of the nanoparticles give them unique
properties, allowing these films to act as positive or negative tone photoresists for 193 nm or electron beam lithography.
The development of such an inorganic resist can provide several advantages to conventional chemically amplified resist
(CAR) systems. Beyond the etch resistance of the material, several other advantages exist, including improved depth of
focus (DOF) and reduced line edge roughness (LER). This work will show etch data on a material that is ~3 times more
etch-resistant than a PHOST standard. The refractive index of the resist at 193 nm is about 2.0, significantly improving
the DOF. Imaging data, including cross-sections, will be shown for 60 nm lines/spaces (l/s) for 193 nm and e-beam
lithography. Further, images and physical characteristics of the materials will be provided in both positive and negative
tones for 193 nm and e-beam lithography.
The unique properties of metamaterials, namely their negative refractive index, permittivity, and permeability, have
gained much recent attention. Research into these materials has led to the realization of a host of applications that may
be useful to enhance optical nanolithography, such as a high pass pupil filter based on an induced transmission filter
design, or an optical superlens. A large selection of materials has been examined both experimentally and theoretically
through wavelength to verify their support of surface plasmons, or lack thereof, in the DUV spectrum via the attenuated
total reflection (ATR) method using the Kretschmann configuration. At DUV wavelengths, materials that were
previously useful at mid-UV and longer wavelengths no longer act as metamaterials. Composites bound between
metallic aluminum and aluminum oxide (Al2O3) exhibit metamaterial behavior, as do other materials such as tin and
indium. This provides for real opportunities to explore the potential of the use of such materials for image enhancement
with easily obtainable materials at desirable lithographic wavelengths.
Owing to its simplicity and ability to produce line/space gratings with the highest contrast, interferometric
lithography is an ideal platform for developing novel double patterning materials and processes. However, lack of
sub-10 nm alignment in most interferometric systems impedes its application. In this paper, litho-etch-litho double
patterning on a two-beam interferometric system is achieved by converting Cartesian alignment into angular
alignment. By concentrically rotating the wafer in the second exposure, the interleaved region between the two
exposures allows for the evaluation of double patterning process and materials. Geometric analysis shows that
angular alignment has greatly relaxed requirements compared to the Cartesian alignment. It is calculated that for 22
nm double patterning technologies, rotation angle larger than 0.12 degree is sufficient to produce 1 μm long
frequency doubled line/space patterns with less than 10% CD variation.
The three knobs of optical lithography, namely process factor k1, wavelength (λ) and numerical aperture (NA) have been
constantly pushed to print smaller features. To get an equivalent k1 value below the fundamental limit of 0.25, double
patterning (DP) has recently emerged as a viable solution for the 32nm lithography node. Various DP techniques exist
such as litho-etch-litho-etch (LELE), litho-freeze-litho-freeze (LFLF) and self-aligned sidewall spacer. In this paper, the
potential of higher order pitch division (pitch/N, N>2) for sub-32nm lithography is analyzed. Compared to double
patterning, higher order pitch division lithography offers higher resolution but also faces significant challenges such as
added cost and tighter process control. Several process schemes are proposed and compared in terms of complexity,
susceptibility to alignment error and CD uniformity control. It is shown that the overlay budget does not necessarily
decrease compared to double patterning. The main challenge in higher order pitch division comes from controlling the
key processing steps that directly form lines or spaces. In addition, line CD control is easier than space (gap) control in
all four "positive-tone" processes studied, similar to the double patterning case. Among the proposed processes, a
freezing assisted double spacer (FADS) process that is simpler than the common sidewall spacer approach shows
promise for balanced process control.
The use of transverse electric (TE) polarization has dominated illumination schemes as selective polarization is
used for high-NA patterning. The benefits of TE polarization are clear - the interference of diffracted beams remains
absolute at oblique angles. Transverse magnetic (TM) polarization is usually considered less desirable as imaging
modulation from interference at large angle falls off rapidly as the 1/cosθ. Significant potential remains, however, for
the use of TM polarization at large angles when its reflection component is utilized. By controlling the resist/substrate
interface reflectivity, high modulation for TM polarization can be maintained for angles up to 90° in the resist. This can
potentially impact the design of illumination away from most recent TE-only schemes for oblique imaging angles (high
NA). We demonstrate several cases of TM illumination combined with tuned substrate reflectivity for 0.93NA, 1.20NA,
and 1.35NA and compare results to TE and unpolarized cases. The goal is to achieve a flat response through polarization
at large imaging angles.
An additional application of TM illumination is its potential use for double patterning. As double patterning
and double exposure approaches are sought in order to meet the needs of 32nm device generations and beyond, materials
and process engineering challenges become prohibitive. We have devised a method for frequency doubling in a single
exposure using an unconventional means of polarization selection and by making use of the reflective component
produced at the photoresist/substrate interface. In doing so, patterns can be deposited into a photoresist film with double
density. As an example, using a projection system numerical aperture of 1.20, with water as an immersion fluid, and a
conventional polyacrylate 193nm photoresist, pattern resolution at 20nm half-pitch are obtainable (which is
0.125lambda/NA). The process to transfer this geometry into a hardmask layer uses conventional materials, including
the photoresist layer and thin film silicon oxide based materials.
The fate of optical-based lithography hinges on the ability to deploy viable resolution enhancement techniques (RET).
One such solution is double patterning (DP). Like the double-exposure technique, double patterning is a decomposition
of the design to relax the pitch that requires dual masks, but unlike double-exposure techniques, double patterning
requires an additional develop and etch step, which eliminates the resolution degradation due to the cross-coupling that
occurs in the latent images of multiple exposures. This additional etch step is worth the effort for those looking for an
optical extension [1]. The theoretical k1 for a double-patterning technique of a 32nm half-pitch (HP) design for a
1.35NA 193nm imaging system is 0.44 whereas the k1 for a single-exposure technique of this same design would be 0.22
[2], which is sub-resolution. There are other benefits to the DP technique such as the ability to add sub-resolution assist
features (SRAF) in the relaxed pitch areas, the reduction of forbidden pitches, and the ability to apply mask biases and
OPC without encountering mask constraints.
Similarly to AltPSM and SRAF techniques one of the major barriers to widespread deployment of double patterning to
random logic circuits is design compliance with split layout synthesis requirements [3]. Successful implementation of
DP requires the evolution and adoption of design restrictions by specifically tailored design rules.
The deployment of double patterning does spawn a couple of issues that would need addressing before proceeding into a
production environment. As with any dual-mask RET application, there are the classical overlay requirements between
the two exposure steps and there are the complexities of decomposing the designs to minimize the stitching but to
maximize the depth of focus (DoF). In addition, the location of the design stitching would require careful consideration.
For example, a stitch in a field region or wider lines is preferred over a transistor region or narrower lines. The EDA
industry will be consulted for these sound automated solutions to resolve double-patterning sensitivities and to go
beyond this with the coupling of their model-based and process-window applications.
This work documented the resolution limitations of single exposure, and double-patterning with the latest hyper-NA
immersion tools and with fully optimized source conditions. It demonstrated the best known methods to improve design
decomposition in an effort to minimize the impact of mask-to-mask registration and process variance. These EDA
solutions were further analyzed and quantified utilizing a verification flow.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.